default search action
Jun Yang 0002
Person information
- affiliation: University of Pittsburgh, Department of Electrical and Computer Engineering, PA, USA
Other persons with the same name
- Jun Yang — disambiguation page
- Jun Yang 0001 — Duke University, Durham, NC, USA
- Jun Yang 0003 — Carnegie Mellon University
- Jun Yang 0004 — Chinese Academy of Sciences, Institute of Acoustics, Key Laboratory of Noise and Vibration Research, Beijing, China (and 2 more)
- Jun Yang 0005 — Southwest Jiaotong University, School of Information Science and Technology, Chengdu, China (and 1 more)
- Jun Yang 0006 — Southeast University, National ASIC system Engineering Research Center, Nanjing, China
- Jun Yang 0007 — Nagoya University
- Jun Yang 0008 — Northeastern University, Shenyang
- Jun Yang 0009 — Xi'an Jiaotong University, Xi'an, China
- Jun Yang 0010 — University of Toronto, Department of Statistical Sciences, ON, Canada (and 1 more)
- Jun Yang 0011 — Loughborough University, Department of Aeronautical and Automotive Engineering, Leicestershire, UK (and 1 more)
- Jun Yang 0012 — Liaoning Normal University, China
- Jun Yang 0013 — Civil Aviation Flight University of China, Guanghan, China
- Jun Yang 0014 — Huazhong University of Science and Technology, School of Computer Science and Technology, Wuhan, China
- Jun Yang 0015 — Wuhan University of Science and Technology, School of Information Science and Engineering, China
- Jun Yang 0016 — Tsinghua University, Center for Earth System Science, Beijing, China (and 3 more)
- Jun Yang 0017 — Huazhong University of Science and Technology, School of Automation, Wuhan, China (and 1 more)
- Jun Yang 0018 — Beihang University, School of Reliability and Systems Engineering, Beijing, China (and 1 more)
- Jun Yang 0019 — Wuhan University, School of Electrical Engineering, China (and 1 more)
- Jun Yang 0020 — University of Hanover, Germany
- Jun Yang 0021 — China State Shipbuilding Corporation, Beijing, China
- Jun Yang 0022 — A-STAR, Data Stoarge Institute, Singapore (and 1 more)
- Jun Yang 0023 — University of Electronic Science and Technology of China, Shenzhen Institute for Advanced Study, China (and 2 more)
- Jun Yang 0024 — Guangdong University of Technology, School of Information Engineering, China (and 1 more)
- Jun Yang 0025 — Sichuan Normal University, College of Computer Science / Visual Computing and Virtual Reality Key Laboratory of Sichuan Province, Chengdu, China
- Jun Yang 0026 — National University of Defense Technology, College of Artificial Intelligence, Changsha, China
- Jun Yang 0027 — South China University of Technology, School of Electric Power, Guangzhou, China (and 2 more)
- Jun Yang 0028 — Tsinghua University, Department of Automation, Beijing, China (and 1 more)
- Jun Yang 0029 — Kunming University of Science and Technology, Faculty of Mechanical and Electrical Engineering, China
- Jun Yang 0030 — Yunnan University, School of Information Science and Engineering, Kunming, China
- Jun Yang 0031 — South China University of Technology, School of Mechanical and Automotive Engineering, Guangzhou, China
- Jun Yang 0032 — Nankai University, College of Computer and Control Engineering, Tianjin, China
- Jun Yang 0033 — University of New South Wales, AustraliaNational ICT Australia, Sydney, NSW, Australia
- Jun Yang 0034 — Xi'an University of Science and Technology, School of Geodesy and Geomatics, China (and 1 more)
- Jun Yang 0035 — Beijing University of Posts and Telecommunications, School of Computer Science, National Engineering Laboratory for Mobile Network, China
- Jun Yang 0036 — Guangzhou University, China (and 3 more)
- Jun Yang 0037 — Chinese Academy of Sciences, Chongqing Institute of Green and Intelligent Technology, China
- Jun Yang 0038 — Nanjing University, Department of Computer Science and Technology, National Key Laboratory for Novel Software Technology, China
- Jun Yang 0039 — Civil Aviation University of China, Tianjin Key Laboratory for Advanced Signal Processing, China
- Jun Yang 0040 — China University of Mining and Technology, School of Environment Science and Spatial Informatics, Xuzhou, China
- Jun Yang 0041 — Southwest Jiaotong University, School of Transportation and Logistics, Chengdu, China
- Jun Yang 0042 — Jinan University, Institute for Environmental and Climate Research, Guangzhou, China
- Jun Yang 0043 — Chinese Academy of Sciences, Shanghai Chenshan Plant Science Research Center, China
- Jun Yang 0044 — China Mobile Communications Group Gansu Co., Ltd., Network Management Center, Lanzhou, China
- Jun Yang 0045 — Acadia University, School of Business Administration, Wolfville, NS, Canada
- Jun Yang 0046 — Xianyang Normal University, School of Mathematics and Information Science, China
- Jun Yang 0047 — Hefei University of Technology, Academy of Opto-electric Technology, Special Display and Imaging Technology Innovation Center of Anhui Province, China
- Jun Yang 0048 — State Grid Qinghai Electric Power Company, Electric Power Research Institute, Xining, China
- Jun Yang 0049 — China University of Mining and Technology, Big Data and Internet of Things Research Center, Beijing, China (and 1 more)
- Jun Yang 0050 — Shandong University, School of Control Science and Engineering, Jinan, China (and 2 more)
- Jun Yang 0051 — Jiaxing University, College of Mathematics Physics and Information Engineering, China (and 1 more)
- Jun Yang 0052 — NVIDIA Corp, Beijing, China (and 1 more)
- Jun Yang 0053 — University of Toronto, Institute for Aerospace Studies and Robotics Institute, Toronto, Canada
- Jun Yang 0054 — Northwest Institute of Nuclear Technology, National Key Laboratory of Intense Pulsed Radiation Simulation and Effect, Xi'an, China (and 1 more)
- Jun Yang 0055 — Huazhong University of Science and Technology, School of Management, Wuhan, China (and 1 more)
- Jun Yang 0056 — Tongji University, Department of Computer Science and Technology, Shanghai, China (and 3 more)
- Jun Yang 0057 — South China University of Technology, School of Electronic and Information Engineering, Guangzhou, China (and 1 more)
- Jun Yang 0058 — ZTE Corporation, Wireless Product Research and Development Institute, Shenzhen, China (and 2 more)
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j47]Renhui Chen, Tianmeng Zhang, Zijing Li, Congming Gao, Youtao Zhang, Qiao Li, Jun Yang, Jiwu Shu:
Space-efficient and high-performance inline deduplication for emerging hybrid storage system with Libra+. J. Syst. Archit. 150: 103137 (2024) - [c147]Zewei Mo, Yingheng Li, Aditya Pawar, Xulong Tang, Jun Yang, Youtao Zhang:
FCM: A Fusion-aware Wire Cutting Approach for Measurement-based Quantum Computing. DAC 2024: 325:1-325:6 - [c146]Yueqi Wang, Bingyao Li, Aamer Jaleel, Jun Yang, Xulong Tang:
GRIT: Enhancing Multi-GPU Performance with Fine-Grained Dynamic Page Placement. HPCA 2024: 1080-1094 - [c145]Yubo Du, Yanan Guo, Youtao Zhang, Jun Yang:
RTT-UAF: Reuse Time Tracking for Use-After-Free Detection. ICS 2024: 376-387 - [c144]Yanan Guo, Zhenkai Zhang, Jun Yang:
GPU Memory Exploitation for Fun and Profit. USENIX Security Symposium 2024 - [i5]Bingyao Li, Yueqi Wang, Tianyu Wang, Lieven Eeckhout, Jun Yang, Aamer Jaleel, Xulong Tang:
Improving Multi-Instance GPU Efficiency via Sub-Entry Sharing TLB Design. CoRR abs/2404.18361 (2024) - 2023
- [j46]Liang Liu, Yanan Guo, Yueqiang Cheng, Youtao Zhang, Jun Yang:
Generating Robust DNN With Resistance to Bit-Flip Based Adversarial Weight Attack. IEEE Trans. Computers 72(2): 401-413 (2023) - [c143]Tianmeng Zhang, Renhui Chen, Congming Gao, Youtao Zhang, Jun Yang, Jiwu Shu:
Libra: A Space-Efficient, High-Performance Inline Deduplication for Emerging Hybrid Storage System. ISPA/BDCloud/SocialCom/SustainCom 2023: 221-228 - [c142]Yingheng Li, Aditya Pawar, Mohadeseh Azari, Yanan Guo, Youtao Zhang, Jun Yang, Kaushik Parasuram Seshadreesan, Xulong Tang:
Orchestrating Measurement-Based Quantum Computation over Photonic Quantum Processors. DAC 2023: 1-6 - [c141]Mehrnoosh Raoufi, Jun Yang, Xulong Tang, Youtao Zhang:
EP-ORAM: Efficient NVM-Friendly Path Eviction for Ring ORAM in Hybrid Memory. DAC 2023: 1-6 - [c140]Mehrnoosh Raoufi, Jun Yang, Xulong Tang, Youtao Zhang:
AB-ORAM: Constructing Adjustable Buckets for Space Reduction in Ring ORAM. HPCA 2023: 361-373 - [c139]Bingyao Li, Jieming Yin, Anup Holey, Youtao Zhang, Jun Yang, Xulong Tang:
Trans-FW: Short Circuiting Page Table Walk in Multi-GPU Systems via Remote Forwarding. HPCA 2023: 456-470 - [c138]Liang Liu, Yanan Guo, Youtao Zhang, Jun Yang:
Understanding and Defending Patched-based Adversarial Attacks for Vision Transformer. ICML 2023: 21631-21657 - [c137]Yanan Guo, Dingyuan Cao, Xin Xin, Youtao Zhang, Jun Yang:
Uncore Encore: Covert Channels Exploiting Uncore Frequency Scaling. MICRO 2023: 843-855 - [c136]Bingyao Li, Yanan Guo, Yueqi Wang, Aamer Jaleel, Jun Yang, Xulong Tang:
IDYLL: Enhancing Page Translation in Multi-GPUs via Light Weight PTE Invalidations. MICRO 2023: 1163-1177 - [i4]Aditya Pawar, Yingheng Li, Zewei Mo, Yanan Guo, Youtao Zhang, Xulong Tang, Jun Yang:
Integrated Qubit Reuse and Circuit Cutting for Large Quantum Circuit Evaluation. CoRR abs/2312.10298 (2023) - [i3]Yingheng Li, Aditya Pawar, Zewei Mo, Youtao Zhang, Jun Yang, Xulong Tang:
Minimizing Photonic Cluster State Depth in Measurement-Based Quantum Computing. CoRR abs/2312.10865 (2023) - 2022
- [j45]Congming Gao, Min Ye, Chun Jason Xue, Youtao Zhang, Liang Shi, Jiwu Shu, Jun Yang:
Reprogramming 3D TLC Flash Memory based Solid State Drives. ACM Trans. Storage 18(1): 9:1-9:33 (2022) - [c135]Boyuan Yang, Ruirong Chen, Kai Huang, Jun Yang, Wei Gao:
Eavesdropping user credentials via GPU side channels on smartphones. ASPLOS 2022: 285-299 - [c134]Lei Zhao, Youtao Zhang, Jun Yang:
SRA: a secure ReRAM-based DNN accelerator. DAC 2022: 355-360 - [c133]Mehrnoosh Raoufi, Youtao Zhang, Jun Yang:
IR-ORAM: Path Access Type Based Memory Intensity Reduction for Path-ORAM. HPCA 2022: 360-372 - [c132]Yilun Zhao, Yanan Guo, Yuan Yao, Amanda Dumi, Devin M. Mulvey, Shiv Upadhyay, Youtao Zhang, Kenneth D. Jordan, Jun Yang, Xulong Tang:
Q-GPU: A Recipe of Optimizations for Quantum Circuit Simulation Using GPUs. HPCA 2022: 726-740 - [c131]Lei Zhao, Youtao Zhang, Jun Yang:
A DNN Protection Solution for PIM accelerators with Model Compression. ISVLSI 2022: 320-325 - [c130]Yanan Guo, Xin Xin, Youtao Zhang, Jun Yang:
Leaky Way: A Conflict-Based Cache Covert Channel Bypassing Set Associativity. MICRO 2022: 646-661 - [c129]Yanan Guo, Andrew Zigerelli, Youtao Zhang, Jun Yang:
Adversarial Prefetch: New Cross-Core Cache Side Channel Attacks. SP 2022: 1458-1473 - 2021
- [c128]Debashis Ganguly, Rami G. Melhem, Jun Yang:
An Adaptive Framework for Oversubscription Management in CPU-GPU Unified Memory. DATE 2021: 1212-1217 - [c127]Yanan Guo, Andrew Zigerelli, Youtao Zhang, Jun Yang:
IVcache: Defending Cache Side Channel Attacks via Invisible Accesses. ACM Great Lakes Symposium on VLSI 2021: 403-408 - [c126]Lei Zhao, Youtao Zhang, Jun Yang:
Flipping Bits to Share Crossbars in ReRAM-Based DNN Accelerator. ICCD 2021: 17-24 - [c125]Yanan Guo, Liang Liu, Yueqiang Cheng, Youtao Zhang, Jun Yang:
ModelShield: A Generic and Portable Framework Extension for Defending Bit-Flip based Adversarial Weight Attacks. ICCD 2021: 559-562 - [c124]Congming Gao, Xin Xin, Youyou Lu, Youtao Zhang, Jun Yang, Jiwu Shu:
ParaBit: Processing Parallel Bitwise Operations in NAND Flash Memory based SSDs. MICRO 2021: 59-70 - [c123]Xin Xin, Yanan Guo, Youtao Zhang, Jun Yang:
SAM: Accelerating Strided Memory Accesses. MICRO 2021: 324-336 - [c122]Yanan Guo, Andrew Zigerelli, Yueqiang Cheng, Youtao Zhang, Jun Yang:
Performance-Enhanced Integrity Verification for Large Memories. SEED 2021: 50-62 - [i2]Yanan Guo, Andrew Zigerelli, Youtao Zhang, Jun Yang:
Adversarial Prefetch: New Cross-Core Cache Side Channel Attacks. CoRR abs/2110.12340 (2021) - 2020
- [j44]Jinhua Cui, Youtao Zhang, Liang Shi, Chun Jason Xue, Jun Yang, Weiguang Liu, Laurence T. Yang:
Leveraging partial-refresh for performance and lifetime improvement of 3D NAND flash memory in cyber-physical systems. J. Syst. Archit. 103: 101685 (2020) - [j43]Chen Li, Andrew Zigerelli, Jun Yang, Youtao Zhang, Sheng Ma, Yang Guo:
A Dynamic and Proactive GPU Preemption Mechanism Using Checkpointing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(1): 75-87 (2020) - [j42]Congming Gao, Liang Shi, Qiao Li, Kai Liu, Chun Jason Xue, Jun Yang, Youtao Zhang:
Aging Capacitor Supported Cache Management Scheme for Solid-State Drives. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10): 2230-2239 (2020) - [j41]Wen Wen, Lei Zhao, Youtao Zhang, Jun Yang:
Exploiting In-Memory Data Patterns for Performance Improvement on Crossbar Resistive Memory. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10): 2347-2360 (2020) - [j40]Quan Deng, Youtao Zhang, Zhenyu Zhao, Shuzheng Zhang, Minxuan Zhang, Jun Yang:
FRF: Toward Warp-Scheduler Friendly STT-RAM/SRAM Fine-Grained Hybrid GPGPU Register File Design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10): 2396-2409 (2020) - [j39]Congming Gao, Liang Shi, Kai Liu, Chun Jason Xue, Jun Yang, Youtao Zhang:
Boosting the Performance of SSDs via Fully Exploiting the Plane Level Parallelism. IEEE Trans. Parallel Distributed Syst. 31(9): 2185-2200 (2020) - [c121]Xulong Tang, Ziyu Zhang, Weizheng Xu, Mahmut Taylan Kandemir, Rami G. Melhem, Jun Yang:
Enhancing Address Translations in Throughput Processors via Compression. PACT 2020: 191-204 - [c120]Shiqiang Nie, Youtao Zhang, Weiguo Wu, Jun Yang:
Layer RBER Variation Aware Read Performance Optimization for 3D Flash Memories. DAC 2020: 1-6 - [c119]Xin Xin, Youtao Zhang, Jun Yang:
Reducing DRAM Access Latency via Helper Rows. DAC 2020: 1-6 - [c118]Lei Zhao, Youtao Zhang, Jun Yang:
SCA: A Secure CNN Accelerator for Both Training and Inference. DAC 2020: 1-6 - [c117]Xin Xin, Youtao Zhang, Jun Yang:
ELP2IM: Efficient and Low Power Bitwise Operation Processing in DRAM. HPCA 2020: 303-314 - [c116]Wen Wen, Youtao Zhang, Jun Yang:
Accelerating 3D Vertical Resistive Memories with Opportunistic Write Latency Reduction. ICCAD 2020: 25:1-25:8 - [c115]Debashis Ganguly, Ziyu Zhang, Jun Yang, Rami G. Melhem:
Adaptive Page Migration for Irregular Data-intensive Applications under GPU Memory Oversubscription. IPDPS 2020: 451-461
2010 – 2019
- 2019
- [j38]Chen Li, Jun Yang, Yifan Sun, Lingling Jin, Lingjie Xu, Zheng Cao, Pengfei Fan, David R. Kaeli, Sheng Ma, Yang Guo:
Priority-Based PCIe Scheduling for Multi-Tenant Multi-GPU Systems. IEEE Comput. Archit. Lett. 18(2): 157-160 (2019) - [c114]Xianwei Zhang, Rujia Wang, Youtao Zhang, Jun Yang:
Boosting chipkill capability under retention-error induced reliability emergency. ASP-DAC 2019: 400-405 - [c113]Chen Li, Rachata Ausavarungnirun, Christopher J. Rossbach, Youtao Zhang, Onur Mutlu, Yang Guo, Jun Yang:
A Framework for Memory Oversubscription Management in Graphics Processing Units. ASPLOS 2019: 49-63 - [c112]Xin Xin, Youtao Zhang, Jun Yang:
ROC: DRAM-based Processing with Reduced Operation Cycles. DAC 2019: 29 - [c111]Liang Liu, Rujia Wang, Youtao Zhang, Jun Yang:
H-ORAM: A Cacheable ORAM Interface for Efficient I/O Accesses. DAC 2019: 33 - [c110]Quan Deng, Youtao Zhang, Minxuan Zhang, Jun Yang:
LAcc: Exploiting Lookup Table-based Fast and Accurate Vector Multiplication in DRAM-based CNN Accelerator. DAC 2019: 128 - [c109]Qiao Li, Liang Shi, Jun Yang, Youtao Zhang, Chun Jason Xue:
Leveraging Approximate Data for Robust Flash Storage. DAC 2019: 215 - [c108]Wen Wen, Youtao Zhang, Jun Yang:
ReNEW: Enhancing Lifetime for ReRAM Crossbar Based Neural Network Accelerators. ICCD 2019: 487-496 - [c107]Lei Zhao, Quan Deng, Youtao Zhang, Jun Yang:
RFAcc: a 3D ReRAM associative array based random forest accelerator. ICS 2019: 473-483 - [c106]Debashis Ganguly, Ziyu Zhang, Jun Yang, Rami G. Melhem:
Interplay between hardware prefetcher and page eviction policy in CPU-GPU unified virtual memory. ISCA 2019: 224-235 - [c105]Mehrnoosh Raoufi, Quan Deng, Youtao Zhang, Jun Yang:
PageCmp: Bandwidth Efficient Page Deduplication through In-memory Page Comparison. ISVLSI 2019: 82-87 - [c104]Congming Gao, Min Ye, Qiao Li, Chun Jason Xue, Youtao Zhang, Liang Shi, Jun Yang:
Constructing Large, Durable and Fast SSD System via Reprogramming 3D TLC Flash Memory. MICRO 2019: 493-505 - [c103]Congming Gao, Liang Shi, Chun Jason Xue, Cheng Ji, Jun Yang, Youtao Zhang:
Parallel all the time: Plane Level Parallelism Exploration for High Performance SSDs. MSST 2019: 172-184 - [c102]Shiqiang Nie, Youtao Zhang, Weiguo Wu, Chi Zhang, Jun Yang:
DIR: Dynamic Request Interleaving for Improving the Read Performance of Aged SSDs. NVMSA 2019: 1-6 - 2018
- [j37]Yi Xu, Jun Yang, Rami G. Melhem:
A Process-Variation-Tolerant Method for Nanophotonic On-Chip Network. ACM J. Emerg. Technol. Comput. Syst. 14(2): 22:1-22:23 (2018) - [j36]Jinhua Cui, Youtao Zhang, Weiguo Wu, Jun Yang, Yinfeng Wang, Jianhang Huang:
DLV: Exploiting Device Level Latency Variations for Performance Improvement on Flash Memory Storage Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(8): 1546-1559 (2018) - [j35]Jinhua Cui, Youtao Zhang, Liang Shi, Chun Jason Xue, Weiguo Wu, Jun Yang:
ApproxFTL: On the Performance and Lifetime Improvement of 3-D NAND Flash-Based SSDs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(10): 1957-1970 (2018) - [c101]Wen Wen, Youtao Zhang, Jun Yang:
Wear leveling for crossbar resistive memory. DAC 2018: 58:1-58:6 - [c100]Chen Li, Andrew Zigerelli, Jun Yang, Yang Guo:
PEP: proactive checkpointing for efficient preemption on GPUs. DAC 2018: 114:1-114:6 - [c99]Quan Deng, Lei Jiang, Youtao Zhang, Minxuan Zhang, Jun Yang:
DrAcc: a DRAM based accelerator for accurate CNN inference. DAC 2018: 168:1-168:6 - [c98]Jinhua Cui, Youtao Zhang, Jianhang Huang, Weiguo Wu, Jun Yang:
ShadowGC: Cooperative garbage collection with multi-level buffer for performance improvement in NAND flash-based SSDs. DATE 2018: 1247-1252 - [c97]Rujia Wang, Youtao Zhang, Jun Yang:
D-ORAM: Path-ORAM Delegation for Low Execution Interference on Cloud Servers with Untrusted Memory. HPCA 2018: 416-427 - [c96]Tyler Garrett, Jun Yang, Youtao Zhang:
Enabling Intra-Plane Parallel Block Erase in NAND Flash to Alleviate the Impact of Garbage Collection. ISLPED 2018: 22:1-22:6 - [c95]Jun Yang:
Initial Steps toward Making GPU a First-Class Computing Resource: Sharing and Resource Management. GPGPU@PPoPP 2018: 1 - 2017
- [j34]Rujia Wang, Sparsh Mittal, Youtao Zhang, Jun Yang:
Decongest: Accelerating Super-Dense PCM Under Write Disturbance by Hot Page Remapping. IEEE Comput. Archit. Lett. 16(2): 107-110 (2017) - [j33]Wen Wen, Jun Yang, Youtao Zhang:
Optimizing power efficiency for 3D stacked GPU-in-memory architecture. Microprocess. Microsystems 49: 44-53 (2017) - [j32]XianWei Zhang, Youtao Zhang, Bruce R. Childers, Jun Yang:
On the Restore Time Variations of Future DRAM Memory. ACM Trans. Design Autom. Electr. Syst. 22(2): 26:1-26:24 (2017) - [c94]XianWei Zhang, Youtao Zhang, Bruce R. Childers, Jun Yang:
DrMP: Mixed Precision-Aware DRAM for High Performance Approximate and Precise Computing. PACT 2017: 53-63 - [c93]Rujia Wang, Youtao Zhang, Jun Yang:
Cooperative Path-ORAM for Effective Memory Bandwidth Sharing in Server Settings. HPCA 2017: 325-336 - [c92]Wen Wen, Lei Zhao, Youtao Zhang, Jun Yang:
Speeding up crossbar resistive memory by exploiting in-memory data patterns. ICCAD 2017: 261-267 - [c91]Quan Deng, Youtao Zhang, Minxuan Zhang, Jun Yang:
Towards warp-scheduler friendly STT-RAM/SRAM hybrid GPGPU register file design. ICCAD 2017: 736-742 - [c90]Lei Zhao, Youtao Zhang, Jun Yang:
AEP: An error-bearing neural network accelerator for energy efficiency and model protection. ICCAD 2017: 765-771 - [c89]Lei Zhao, Youtao Zhang, Jun Yang:
AEP: An error-bearing neural network accelerator for energy efficiency and model protection. ICCAD 2017: 1047-1053 - [c88]Wen Wen, Youtao Zhang, Jun Yang:
Read Error Resilient MLC STT-MRAM Based Last Level Cache. ICCD 2017: 455-462 - [c87]Zhenning Wang, Jun Yang, Rami G. Melhem, Bruce R. Childers, Youtao Zhang, Minyi Guo:
Quality of Service Support for Fine-Grained Sharing on GPUs. ISCA 2017: 269-281 - [c86]Lei Zhao, Lei Jiang, Youtao Zhang, Nong Xiao, Jun Yang:
Constructing fast and energy efficient 1TnR based ReRAM crossbar memory. ISQED 2017: 58-64 - [c85]Lei Zhao, Youtao Zhang, Jun Yang:
Mitigating shift-based covert-channel attacks in racetrack last level caches. NVMSA 2017: 1-6 - 2016
- [j31]Zhenning Wang, Jun Yang, Rami G. Melhem, Bruce R. Childers, Youtao Zhang, Minyi Guo:
Simultaneous Multikernel: Fine-Grained Sharing of GPUs. IEEE Comput. Archit. Lett. 15(2): 113-116 (2016) - [c84]Rujia Wang, Youtao Zhang, Jun Yang:
ReadDuo: Constructing Reliable MLC Phase Change Memory through Fast and Robust Readout. DSN 2016: 203-214 - [c83]Zhenning Wang, Jun Yang, Rami G. Melhem, Bruce R. Childers, Youtao Zhang, Minyi Guo:
Simultaneous Multikernel GPU: Multi-tasking throughput processors via fine-grained sharing. HPCA 2016: 358-369 - [c82]XianWei Zhang, Youtao Zhang, Bruce R. Childers, Jun Yang:
Restore truncation for performance improvement in future DRAM systems. HPCA 2016: 543-554 - [c81]XianWei Zhang, Youtao Zhang, Bruce R. Childers, Jun Yang:
AWARD: Approximation-aWAre Restore in Further Scaling DRAM. MEMSYS 2016: 322-324 - 2015
- [j30]Peilong Li, Yan Luo, Jun Yang:
Transformer: Run-time reprogrammable heterogeneous architecture for transparent acceleration of dynamic workloads. J. Parallel Distributed Comput. 86: 45-61 (2015) - [j29]Lei Jiang, Bo Zhao, Jun Yang, Youtao Zhang:
Constructing Large and Fast On-Chip Cache for Mobile Processors with Multilevel Cell STT-MRAM Technology. ACM Trans. Design Autom. Electr. Syst. 20(4): 54:1-54:24 (2015) - [j28]Yi Xu, Bo Zhao, Youtao Zhang, Jun Yang:
Simple Virtual Channel Allocation for High-Throughput and High-Frequency On-Chip Routers. ACM Trans. Parallel Comput. 2(1): 6:1-6:23 (2015) - [c80]Rujia Wang, Lei Jiang, Youtao Zhang, Jun Yang:
SD-PCM: Constructing Reliable Super Dense Phase Change Memory under Write Disturbance. ASPLOS 2015: 19-31 - [c79]Yi Xu, Jun Yang, Rami G. Melhem:
BandArb: mitigating the effects of thermal and process variations in silicon-photonic network. Conf. Computing Frontiers 2015: 30:1-30:8 - [c78]Rujia Wang, Lei Jiang, Youtao Zhang, Linzhang Wang, Jun Yang:
Selective restore: an energy efficient read disturbance mitigation scheme for future STT-MRAM. DAC 2015: 21:1-21:6 - [c77]Rujia Wang, Lei Jiang, Youtao Zhang, Linzhang Wang, Jun Yang:
Exploit imbalanced cell writes to mitigate write disturbance in dense phase change memory. DAC 2015: 88:1-88:6 - [c76]XianWei Zhang, Youtao Zhang, Bruce R. Childers, Jun Yang:
Exploiting DRAM restore time variations in deep sub-micron scaling. DATE 2015: 477-482 - [c75]XianWei Zhang, Youtao Zhang, Jun Yang:
DLB: Dynamic lane borrowing for improving bandwidth and performance in Hybrid Memory Cube. ICCD 2015: 125-132 - [c74]XianWei Zhang, Lei Zhao, Youtao Zhang, Jun Yang:
Exploit common source-line to construct energy efficient domain wall memory based caches. ICCD 2015: 157-163 - [c73]XianWei Zhang, Youtao Zhang, Jun Yang:
TriState-SET: Proactive SET for improved performance of MLC phase change memories. ICCD 2015: 659-665 - [c72]Jiwei Liu, Jun Yang, Rami G. Melhem:
GASOLIN: Global Arbitration for Streams of Data in Optical Links. IPDPS 2015: 93-102 - [c71]Bruce R. Childers, Jun Yang, Youtao Zhang:
Achieving Yield, Density and Performance Effective DRAM at Extreme Technology Sizes. MEMSYS 2015: 78-84 - [c70]Jiwei Liu, Jun Yang, Rami G. Melhem:
SAWS: synchronization aware GPGPU warp scheduling for multiple independent warp schedulers. MICRO 2015: 383-394 - 2014
- [j27]Bo Zhao, Yu Du, Jun Yang, Youtao Zhang:
Errata to "Process Variation-Aware Nonuniform Cache Management in a 3D Die-Stacked Multicore Processor". IEEE Trans. Computers 63(2): 525-526 (2014) - [j26]Ping Zhou, Bo Zhao, Jun Yang, Youtao Zhang:
Throughput Enhancement for Phase Change Memories. IEEE Trans. Computers 63(8): 2080-2093 (2014) - [c69]Lei Jiang, Youtao Zhang, Jun Yang:
Mitigating Write Disturbance in Super-Dense Phase Change Memories. DSN 2014: 216-227 - [c68]Lei Jiang, Bo Zhao, Jun Yang, Youtao Zhang:
A low power and reliable charge pump design for Phase Change Memories. ISCA 2014: 397-408 - 2013
- [j25]Lei Jiang, Yu Du, Bo Zhao, Youtao Zhang, Bruce R. Childers, Jun Yang:
Hardware-Assisted Cooperative Integration of Wear-Leveling and Salvaging for Phase Change Memory. ACM Trans. Archit. Code Optim. 10(2): 7:1-7:25 (2013) - [j24]Bo Zhao, Yu Du, Jun Yang, Youtao Zhang:
Process Variation-Aware Nonuniform Cache Management in a 3D Die-Stacked Multicore Processor. IEEE Trans. Computers 62(11): 2252-2265 (2013) - [j23]Bo Zhao, Jun Yang, Youtao Zhang, Yiran Chen, Hai Li:
Common-source-line array: An area efficient memory architecture for bipolar nonvolatile devices. ACM Trans. Design Autom. Electr. Syst. 18(4): 57:1-57:18 (2013) - [c67]Jie Guo, Jun Yang, Youtao Zhang, Yiran Chen:
Low cost power failure protection for MLC NAND flash storage systems with PRAM/DRAM hybrid buffer. DATE 2013: 859-864 - [c66]Ping Zhou, Youtao Zhang, Jun Yang:
The design of sustainable wireless sensor network node using solar energy and phase change memory. DATE 2013: 869-872 - [c65]XianWei Zhang, Le Jang, Youtao Zhang, Chuanjun Zhang, Jun Yang:
WoM-SET: Low power proactive-SET-based PCM write using WoM code. ISLPED 2013: 217-222 - [c64]Bo Zhao, Youtao Zhang, Jun Yang:
A speculative arbiter design to enable high-frequency many-VC router in NoCs. NOCS 2013: 1-8 - 2012
- [c63]Lei Jiang, Bo Zhao, Youtao Zhang, Jun Yang:
Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors. DAC 2012: 907-912 - [c62]Bo Zhao, Jun Yang, Youtao Zhang, Yiran Chen, Hai Li:
Architecting a common-source-line array for bipolar non-volatile memory devices. DATE 2012: 1451-1454 - [c61]Lei Jiang, Bo Zhao, Youtao Zhang, Jun Yang, Bruce R. Childers:
Improving write operations in MLC phase change memory. HPCA 2012: 201-210 - [c60]Yi Xu, Jun Yang, Rami G. Melhem:
Channel borrowing: an energy-efficient nanophotonic crossbar architecture with light-weight arbitration. ICS 2012: 133-142 - [c59]Yi Xu, Jun Yang, Rami G. Melhem:
Tolerating process variations in nanophotonic on-chip networks. ISCA 2012: 142-152 - [c58]Lei Jiang, Youtao Zhang, Jun Yang:
ER: elastic RESET for low power and long endurance MLC based phase change memory. ISLPED 2012: 39-44 - [c57]Lei Jiang, Youtao Zhang, Bruce R. Childers, Jun Yang:
FPB: Fine-grained Power Budgeting to Improve Write Throughput of Multi-level Cell Phase Change Memory. MICRO 2012: 1-12 - 2011
- [c56]Ping Zhou, Bo Zhao, Youtao Zhang, Jun Yang, Yiran Chen:
MRAC: A Memristor-based Reconfigurable Framework for Adaptive Cache Replacement. PACT 2011: 207-208 - [c55]Lin Li, Youtao Zhang, Jun Yang:
Proactive recovery for BTI in high-k SRAM cells. DATE 2011: 992-997 - [c54]Lei Jiang, Yu Du, Youtao Zhang, Bruce R. Childers, Jun Yang:
LLS: Cooperative integration of wear-leveling and salvaging for PCM main memory. DSN 2011: 221-232 - [c53]Yi Xu, Yu Du, Youtao Zhang, Jun Yang:
A composite and scalable cache coherence protocol for large scale CMPs. ICS 2011: 285-294 - [c52]Lei Jiang, Youtao Zhang, Jun Yang:
Enhancing phase change memory lifetime through fine-grained current regulation and voltage upscaling. ISLPED 2011: 127-132 - [c51]Weidong Shi, Jun Yang, Yifei Jiang, Feng Yang, Yingen Xiong:
SenGuard: Passive user identification on smartphones using multiple sensors. WiMob 2011: 141-148 - 2010
- [j22]Youtao Zhang, Jun Yang, Weijia Li, Linzhang Wang, Lingling Jin:
An authentication scheme for locating compromised sensor nodes in WSNs. J. Netw. Comput. Appl. 33(1): 50-62 (2010) - [j21]Jun Yang, Lan Gao, Youtao Zhang, Marek Chrobak, Hsien-Hsin S. Lee:
A low-cost memory remapping scheme for address bus protection. J. Parallel Distributed Comput. 70(5): 443-457 (2010) - [j20]Benjamin C. Lee, Ping Zhou, Jun Yang, Youtao Zhang, Bo Zhao, Engin Ipek, Onur Mutlu, Doug Burger:
Phase-Change Technology and the Future of Main Memory. IEEE Micro 30(1): 143 (2010) - [j19]Xiuyi Zhou, Jun Yang, Marek Chrobak, Youtao Zhang:
Performance-aware thermal management via task scheduling. ACM Trans. Archit. Code Optim. 7(1): 5:1-5:31 (2010) - [j18]Xiuyi Zhou, Jun Yang, Yi Xu, Youtao Zhang, Jianhua Zhao:
Thermal-Aware Task Scheduling for 3D Multicore Processors. IEEE Trans. Parallel Distributed Syst. 21(1): 60-71 (2010) - [j17]Youtao Zhang, Jun Yang, Hai Trong Vu, Yizhi Wu:
The design and evaluation of interleaved authentication for filtering false reports in multipath routing WSNs. Wirel. Networks 16(1): 125-140 (2010) - [c50]Lin Li, Youtao Zhang, Jun Yang, Jianhua Zhao:
Proactive NBTI mitigation for busy functional units in out-of-order microprocessors. DATE 2010: 411-416 - [c49]Yi Xu, Bo Zhao, Youtao Zhang, Jun Yang:
Simple virtual channel allocation for high throughput and high frequency on-chip routers. HPCA 2010: 1-11 - [c48]Ping Zhou, Yu Du, Youtao Zhang, Jun Yang:
Fine-grained QoS scheduling for PCM-based main memory systems. IPDPS 2010: 1-12
2000 – 2009
- 2009
- [j16]Youtao Zhang, Jun Yang, Lan Gao:
Supporting flexible streaming media protection through privacy-aware secure processors. Comput. Electr. Eng. 35(2): 286-299 (2009) - [j15]Weijia Li, Youtao Zhang, Jun Yang, Jiang Zheng:
Towards update-conscious compilation for energy-efficient code dissemination in WSNs. ACM Trans. Archit. Code Optim. 6(4): 14:1-14:33 (2009) - [j14]Dinesh C. Suresh, Banit Agrawal, Jun Yang, Walid A. Najjar:
Tunable and Energy Efficient Bus Encoding Techniques. IEEE Trans. Computers 58(8): 1049-1062 (2009) - [j13]Dinesh C. Suresh, Banit Agrawal, Jun Yang, Walid A. Najjar:
Energy-efficient encoding techniques for off-chip data buses. ACM Trans. Embed. Comput. Syst. 8(2): 9:1-9:23 (2009) - [c47]Ping Zhou, Bo Zhao, Yu Du, Yi Xu, Youtao Zhang, Jun Yang, Li Zhao:
Frequent value compression in packet-based NoC architectures. ASP-DAC 2009: 13-18 - [c46]Yi Xu, Yu Du, Bo Zhao, Xiuyi Zhou, Youtao Zhang, Jun Yang:
A low-radix and low-diameter 3D interconnection network design. HPCA 2009: 30-42 - [c45]Ping Zhou, Bo Zhao, Jun Yang, Youtao Zhang:
Energy reduction for STT-RAM using early write termination. ICCAD 2009: 264-268 - [c44]Ping Zhou, Bo Zhao, Jun Yang, Youtao Zhang:
A durable and energy efficient main memory using phase change memory technology. ISCA 2009: 14-23 - [c43]Bo Zhao, Yu Du, Youtao Zhang, Jun Yang:
Variation-tolerant non-uniform 3D cache management in die stacked multicore processor. MICRO 2009: 222-231 - 2008
- [c42]Weijia Li, Yu Du, Youtao Zhang, Bruce R. Childers, Ping Zhou, Jun Yang:
Adaptive Buffer Management for Efficient Code Dissemination in Multi-Application Wireless Sensor Networks. EUC (1) 2008: 295-301 - [c41]Xiuyi Zhou, Yi Xu, Yu Du, Youtao Zhang, Jun Yang:
Thermal Management for 3D Processors via Task Scheduling. ICPP 2008: 115-122 - [c40]Youtao Zhang, Jun Yang, Weijia Li:
Towards energy-efficient code dissemination in wireless sensor networks. IPDPS 2008: 1-5 - [c39]Jun Yang, Xiuyi Zhou, Marek Chrobak, Youtao Zhang, Lingling Jin:
Dynamic Thermal Management through Task Scheduling. ISPASS 2008: 191-201 - 2007
- [j12]Yan Luo, Jia Yu, Jun Yang, Laxmi N. Bhuyan:
Conserving network processor power consumption by exploiting traffic variability. ACM Trans. Archit. Code Optim. 4(1): 4 (2007) - [j11]Wei Wu, Lingling Jin, Jun Yang, Pu Liu, Sheldon X.-D. Tan:
Efficient power modeling and software thermal sensing for runtime temperature monitoring. ACM Trans. Design Autom. Electr. Syst. 12(3): 25:1-25:29 (2007) - [c38]Jia Yu, Jingnan Yao, Laxmi N. Bhuyan, Jun Yang:
Program Mapping onto Network Processors by Recursive Bipartitioning and Refining. DAC 2007: 805-810 - [c37]Wei Wu, Sheldon X.-D. Tan, Jun Yang, Shih-Lien Lu:
Improving the reliability of on-chip data caches under process variations. ICCD 2007: 325-332 - [c36]Weijia Li, Youtao Zhang, Jun Yang, Jiang Zheng:
UCC: update-conscious compilation for energy efficiency in wireless sensor networks. PLDI 2007: 383-393 - [i1]Jia Yu, Wei Wu, Xi Chen, Harry Hsieh, Jun Yang, Felice Balarin:
Assertion-Based Design Exploration of DVS in Network Processor Architectures. CoRR abs/0710.4714 (2007) - 2006
- [j10]Pu Liu, Hang Li, Lingling Jin, Wei Wu, Sheldon X.-D. Tan, Jun Yang:
Fast Thermal Simulation for Runtime Temperature Tracking and Management. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(12): 2882-2893 (2006) - [c35]Lan Gao, Jun Yang, Marek Chrobak, Youtao Zhang, San Nguyen, Hsien-Hsin S. Lee:
A low-cost memory remapping scheme for address bus protection. PACT 2006: 74-83 - [c34]Youtao Zhang, Jun Yang, Lan Gao:
Efficient Group KeyManagement with Tamper-resistant ISA Extensions. ASAP 2006: 269-274 - [c33]Wei Wu, Lingling Jin, Jun Yang, Pu Liu, Sheldon X.-D. Tan:
A systematic method for functional unit power estimation in microprocessors. DAC 2006: 554-557 - [c32]Youtao Zhang, Jun Yang, Lingling Jin, Weijia Li:
Locating Compromised Sensor Nodes Through Incremental Hashing Authentication. DCOSS 2006: 321-337 - [c31]Angela Yun Zhu, Xi Li, Laurence Tianruo Yang, Jun Yang:
A Fast Instruction Set Evaluation Method for ASIP Designs. EUC 2006: 489-498 - [c30]Weidong Shi, Joshua B. Fryman, Guofei Gu, Hsien-Hsin S. Lee, Youtao Zhang, Jun Yang:
InfoShield: a security architecture for protecting information usage in memory. HPCA 2006: 222-231 - [c29]Lingling Jin, Wei Wu, Jun Yang, Chuanjun Zhang, Youtao Zhang:
Reduce Register Files Leakage Through Discharging Cells. ICCD 2006: 114-119 - [c28]Youtao Zhang, Jun Yang, Hai Trong Vu:
The interleaved authentication for filtering false reports in multipath routing based sensor networks. IPDPS 2006 - [c27]Weijia Li, Youtao Zhang, Jun Yang:
Dynamic Authentication-Key Re-assignment for Reliable Report Delivery. MASS 2006: 467-476 - 2005
- [j9]Youtao Zhang, Jun Yang:
Reducing I-cache energy of multimedia applications through low cost tag comparison elimination. J. Embed. Comput. 1(4): 461-470 (2005) - [j8]Jun Yang, Jia Yu, Youtao Zhang:
A low energy cache design for multimedia applications exploiting set access locality. J. Syst. Archit. 51(10-11): 653-664 (2005) - [j7]Youtao Zhang, Jun Yang, Yongjing Lin, Lan Gao:
Architectural support for protecting user privacy on trusted processors. SIGARCH Comput. Archit. News 33(1): 118-123 (2005) - [j6]Chuanjun Zhang, Frank Vahid, Jun Yang, Walid A. Najjar:
A way-halting cache for low-energy high-performance systems. ACM Trans. Archit. Code Optim. 2(1): 34-54 (2005) - [j5]Jun Yang, Lan Gao, Youtao Zhang:
Improving Memory Encryption Performance in Secure Processors. IEEE Trans. Computers 54(5): 630-640 (2005) - [c26]Yan Luo, Jia Yu, Jun Yang, Laxmi N. Bhuyan:
Low power network processor design using clock gating. DAC 2005: 712-715 - [c25]Jia Yu, Wei Wu, Xi Chen, Harry Hsieh, Jun Yang, Felice Balarin:
Assertion-Based Design Exploration of DVS in Network Processor Architectures. DATE 2005: 92-97 - [c24]Jia Yu, Jun Yang, Shaojie Chen, Yan Luo, Laxmi N. Bhuyan:
Enhancing Network Processor Simulation Speed with Statistical Input Sampling. HiPEAC 2005: 68-83 - [c23]Youtao Zhang, Lan Gao, Jun Yang, Xiangyu Zhang, Rajiv Gupta:
SENSS: Security Enhancement to Symmetric Shared Memory Multiprocessors. HPCA 2005: 352-362 - [c22]Pu Liu, Zhenyu Qi, Hang Li, Lingling Jin, Wei Wu, Sheldon X.-D. Tan, Jun Yang:
Fast thermal simulation for architecture level dynamic thermal management. ICCAD 2005: 639-644 - [c21]Hang Li, Pu Liu, Zhenyu Qi, Lingling Jin, Wei Wu, Sheldon X.-D. Tan, Jun Yang:
Efficient Thermal Simulation for Run-Time Temperature Tracking and Management. ICCD 2005: 130-136 - [c20]Dinesh C. Suresh, Banit Agrawal, Walid A. Najjar, Jun Yang:
VALVE: Variable Length Value Encoder for Off-Chip Data Buses.. ICCD 2005: 631-633 - [c19]Lingling Jin, Wei Wu, Jun Yang, Chuanjun Zhang, Youtao Zhang:
Dynamic Co-allocation of Level One Caches. ICESS 2005: 373-385 - [c18]Dinesh C. Suresh, Banit Agrawal, Jun Yang, Walid A. Najjar:
A tunable bus encoder for off-chip data buses. ISLPED 2005: 319-322 - [c17]Yongjing Lin, Youtao Zhang, Quanzhong Li, Jun Yang:
Supporting efficient query processing on compressed XML files. SAC 2005: 660-665 - [c16]Dinesh C. Suresh, Walid A. Najjar, Jun Yang:
Power Efficient Instruction Caches for Embedded Systems. SAMOS 2005: 182-191 - 2004
- [j4]Yan Luo, Jun Yang, Laxmi N. Bhuyan, Li Zhao:
NePSim: A Network Processor Simulator with a Power Evaluation Framework. IEEE Micro 24(5): 34-44 (2004) - [j3]Jun Yang, Rajiv Gupta, Chuanjun Zhang:
Frequent value encoding for low power data buses. ACM Trans. Design Autom. Electr. Syst. 9(3): 354-384 (2004) - [c15]Chuanjun Zhang, Jun Yang, Frank Vahid:
Low Static-Power Frequent-Value Data Caches. DATE 2004: 214-219 - [c14]Jia Yu, Wei Wu, Xi Chen, Harry Hsieh, Jun Yang, Felice Balarin:
Assertion-based power/performance analysis of network processor architectures. HLDVT 2004: 155-160 - [c13]Chuanjun Zhang, Frank Vahid, Jun Yang, Walid A. Najjar:
A way-halting cache for low-energy high-performance systems. ISLPED 2004: 126-131 - 2003
- [j2]Chuanjun Zhang, Frank Vahid, Jun Yang, Walid A. Najjar:
A Way-Halting Cache for Low-Energy High-Performance Systems. IEEE Comput. Archit. Lett. 2 (2003) - [c12]Dinesh C. Suresh, Banit Agrawal, Jun Yang, Walid A. Najjar, Laxmi N. Bhuyan:
Power efficient encoding techniques for off-chip data buses. CASES 2003: 267-275 - [c11]Dinesh C. Suresh, Jun Yang, Chuanjun Zhang, Banit Agrawal, Walid A. Najjar:
FV-MSB: A Scheme for Reducing Transition Activity on Data Buses. HiPC 2003: 44-54 - [c10]Youtao Zhang, Jun Yang:
Procedural Level Address Offset Assignment of DSP Applications with Loops. ICPP 2003: 21-28 - [c9]Youtao Zhang, Jun Yang:
Low cost instruction cache designs for tag comparison elimination. ISLPED 2003: 266-269 - [c8]Jun Yang, Youtao Zhang:
Lightweight set buffer: low power data cache for multimedia application. ISLPED 2003: 270-273 - [c7]Jun Yang, Youtao Zhang, Lan Gao:
Fast Secure Processor for Inhibiting Software Piracy and Tampering. MICRO 2003: 351-360 - 2002
- [j1]Jun Yang, Rajiv Gupta:
Frequent value locality and its applications. ACM Trans. Embed. Comput. Syst. 1(1): 79-105 (2002) - [c6]Jun Yang, Rajiv Gupta:
Energy efficient frequent value data cache design. MICRO 2002: 197-207 - 2001
- [c5]Jun Yang, Rajiv Gupta:
Energy-efficient load and store reuse. ISLPED 2001: 72-75 - [c4]Jun Yang, Rajiv Gupta:
FV encoding for low-power data I/O. ISLPED 2001: 84-87 - 2000
- [c3]Youtao Zhang, Jun Yang, Rajiv Gupta:
Frequent Value Locality and Value-Centric Data Cache Design. ASPLOS 2000: 150-159 - [c2]Jun Yang, Rajiv Gupta:
Load Redundancy Removal through Instruction Reuse. ICPP 2000: 61-68 - [c1]Jun Yang, Youtao Zhang, Rajiv Gupta:
Frequent value compression in data caches. MICRO 2000: 258-265
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-11-22 20:39 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint