LVflow
LVflow
logic BIST solution and further simplifies the integration of logic BIST into cores containing
embedded I/Os.
LV Flow Users Manual, v2014.2 326
Adding Embedded Boundary Scan Into Your Design
Embedded Boundary Scan Insertion Flow
June 2014
Figure G-1. Boundary-Scan Cell Integration
Embedded Boundary Scan Insertion Flow
Within the normal chip or block flow, ETPlanner creates an .etassemble configuration file to be
used as input to ETAssemble and allows you to instruct the tool on how you want any
embedded test capabilities configured and integrated into your design. Adding embedded
boundary scan to a core is performed outside this normal flow, however, and ETAssemble is
used as a point tool. You start by using ETAssemble to generate a Makefile with available
targets to generate and verify your embedded boundary scan implementation (refer to Figure G-
2 on page 328) as well as a starter .etassemble file and simScript. You create these templates
using the following syntax:
etassemble <designName> -genTemplate On \
-CADEnvFile <cadEnvFile> \
-etDefFile <etDefFile> \
-ICTechFile <ICTechFile>
If you have an ICTechFile that you use with ETPlanner, point to it using the -ICTechFile
command-line option when running ETAssemble with -genTemplate On. This enables tthe
Makefile to automatically point to your library files. If you do not have an available ICTechFile,
simply add the -y and -v options in the <designName>.f file you create to point to your design
files.
Adding Embedded Boundary Scan Into Your Design
Embedded Boundary Scan Insertion Flow
LV Flow Users Manual, v2014.2 327
June 2014
If you have a CADEnvFile that you use with ETPlanner, point to it using the -CADEnvFile
command-line option when running ETAssemble with -genTemplate On. This enables creation
of a simScript file consistent with your simulation environment.
If you have an ETDefFile that defines the EmbeddedBScanPortNaming wrapper, point to it
using the -etDefFile command-line option when running ETAssemble with -genTemplate On.
This enables the generated .etassemble file to be automatically generated with your default
EmbeddedBScanPortNaming convention.
The generated <block>.etassemble file is shown in Figure G-3. For detailed information on the
properties used within this file, refer to .etassemble Configuration File of the ETAssemble
Reference manual.
The generated simScript is shown in Figure G-4. It is used to fully certify the implemented
boundary-scan segment at the core level. There is no need to wait until top-level integration
with a TAP to simulate and sign off the embedded boundary scan segment. For detailed
information on the properties used within this file, please refer to section TAP Verification
Section of the ETVerify Reference manual.
Before running make bscan (see the list of Make targets below), note that the default for the
RTLEditingEngine property is Incore (legacy editing engine). If you wish to run ETAssemble
with the HDLE editing engine or with auto uniquification enabled, add the following to the
.etassemble file:
EmbeddedTest {
AutoUniquify : AllEditedModules;
RTLEditingEngine : HDLE;
}
For more information about when to use the HDLE editing engine, refer to the LV Flow
Migration to Tessent Editing Engine appendix.
LV Flow Users Manual, v2014.2 328
Adding Embedded Boundary Scan Into Your Design
Embedded Boundary Scan Insertion Flow
June 2014
Figure G-2. Generated Files When Using -genTemplate On
Adding Embedded Boundary Scan Into Your Design
Embedded Boundary Scan Insertion Flow
LV Flow Users Manual, v2014.2 329
June 2014
Figure G-3. Sample ETAssemble Starter Template for Embedded Boundary
Scan Flow
Configuration (MyBScanCell) {
BoundaryScan {
/* You must list the pins on the block that are connected to the
PadIO/FromIO/ toIO pins of pad cells. Pins not listed here will
be assumed to be internal pins that are not interfacing with the
device boundary. You will get a warning if a pin not listed here is
seen to be connected to a PadIO/FromIO/toIO pin of pad cells.
The order of the pin list is used as the order of the boundary scan
segments.
Bussed pins can be listed with a range.
Example:gioa[4],gioa[8:5],gioa[0].*/
PadIOPins: <PinList>;
/* Use this property to define optional options such as SampleOnly,
NJTAG, etc. */
Overrides {
// <pin>: options;
}
EmbeddedBScanPortNaming {
// <FunctionName>: <pinName>;
ForceDisable: ForceDisable;
ClockBscan: ClockBScan;
UpdateBScan: UpdateBScan;
ShiftBScan: ShiftBScan;
ShiftBScan2Edge: ShiftBScan2Edge;
SelectJtagInput: SelectJtagInput;
SelectJtagOutput: SelectJtagOutput;
BscanShiftIn: BscanShiftIn;
BscanShiftOutn: BscanShiftOut;
InitClk: InitClk;
ACSignal: ACSignal;
ACMode: ACMode;
ExternalAuxOut: ExternalAuxOut;
ExternalAuxOutEn: ExternalAuxOutEn;
ExternalAuxIn: ExternalAuxIn;
ExternalAuxInEn: ExternalAuxInEn;
}
/* Use this property to list pins you want to equip with AuxIn
logic. Use InternalAuxInPins if you are inserting a boundary-scan
segment within a block or an ELT core module, and you want to
connect your MultiChains through pad cells found within this module.
Use ExternalAuxInPins if you are inserting a boundary scan segment
within a soft module which you will reuse later as a pad/bscan combo
cell to be used as an AuxIn pin */
InternalAuxInPins: <InputPinName> [<InputPinName>, ...];
ExternalAuxInPins: <InputPinName> [<InputPinName>, ...];
/* Use this property to list pins you want to equip with
AuxOut logic. Use InternalAuxOutPins if you are inserting a
boundary-scan segment within a block or an ELTCore module, and you
want to connect your CompStat and/or your MultiChains through pad
LV Flow Users Manual, v2014.2 330
Adding Embedded Boundary Scan Into Your Design
Embedded Boundary Scan Insertion Flow
June 2014
cells found within this module.Use ExternalAuxOutPins if you are
inserting a boundary scan segment within a soft module which you
will reuse later as a pad/bscan combo cell to be used as an AuxOut
pin */
InternalAuxOutPins: <OutputPinName> [<OutputPinName>, ...];
ExternalAuxOutPins: <OutputPinName> [<OutputPinName>, ...];
}
}
Figure G-4. Generated .etEarlyVer File
etv (<designName>) {
JtagVerify (<designName>) {
PatternName: tapbistv_<designName>;
SimulationScript: <designName>_sim.script;
TCKPeriod: 100.0ns;
LVBScanFile: outDir/<designName>.lvbscan;
TestStep (Default) {
RunTest: BscanReg;
RunTest: Input;
RunTest: Sample;
RunTest: HighZ;
RunTest: Clamp;
RunTest: Output;
}
}
}
Recursive Integration and Verification Flow
The embedded boundary scan integration and verification process is fully recursive and can be
applied to any number of levels of hierarchy. An illustration of the recursive flow for the simple
design is provided in Figure G-5.
At each level (beginning with the lowest), ETAssemble is first run to insert the appropriate
embedded boundary scan cells and to generate a <blockModule>.lvbscan file which describes
the added boundary scan cells. This file then serves as input to ETVerify to drive verification, as
well as input to ETAssemble at the next level.
Adding Embedded Boundary Scan Into Your Design
Changes to ETCreate Block/ELTCore Flow
LV Flow Users Manual, v2014.2 331
June 2014
Figure G-5. Recursive Embedded Boundary Scan Flow
Changes to ETCreate Block/ELTCore Flow
The ETCreate Block/ELTCore flow is fully compatible with the new embedded boundary scan
feature. The necessary flow steps needed to accommodate embedded boundary-scan segments
are shown in the flow diagram of Figure G-6.
LV Flow Users Manual, v2014.2 332
Adding Embedded Boundary Scan Into Your Design
Changes to ETCreate Block/ELTCore Flow
June 2014
Figure G-6. ETCreate Flow for Cores with Embedded Boundary Scan
When going through the standard flow, you need to make the following modifications:
When you run ETChecker, use the -etcScanDataFile runtime option to point to the
<root>.etcScanData file created by ETAssemble when it was run to insert the
embedded boundary-scan cells (the <root>.etcScanData file is normally created by
ruleAnalyze in the bottom-up flow).
Make sure to not use either the lv.BoundaryScanInstance nor the lv.NonScanInstance
properties on the boundary-scan cells.
When you run ETAssemble, make sure you have the <root>.lvbscan file (created earlier
by ETAssemble when it was run to insert the embedded boundary scan cells) visible in
the design search path.
The <root>.etcScanData and <root>.lvbscan files can easily be hand-created for
blocks where the embedded boundary scan insertion was performed manually.
After completing the ELTCore flow with the above modifications, a cores embedded
boundary-scan segment will be connected to the logicTest controller as shown in Figure G-7.
During core-level internal test mode (INT_TM signal active), the embedded boundary-scan
segment is scanned by the logicTest controller and fully supports the Shared Isolation
technique. Therefore, it provides for full internal test coverage all the way to the boundary scan
flops.
During core-level external test mode (EXT_TM signal active), the boundary-scan segment
becomes part of the chip-level boundary-scan chain (including all controls) and forces constant
known values on the chip pins (the EXT_TM signal is ORed with the SelectJtagOutput signal).
For the rare case of a signal from an input pin captured by an embedded boundary-scan cell
feeding directly through the core, a DI cell is added on the corresponding core output port to
support the external test mode.
Adding Embedded Boundary Scan Into Your Design
Performing Stand-Alone Verification of Custom Embedded BScan Cells
LV Flow Users Manual, v2014.2 333
June 2014
Figure G-7. Embedded Boundary Scan Usage in ELT Core
Performing Stand-Alone Verification of
Custom Embedded BScan Cells
This section provides instructions on how to verify your custom embedded boundary-scan cells
when both boundary-scan insertion and .lvbscan file creation are not performed by the Tessent
EBScan flow.
Note
Support of custom boundary-scan cells is limited to those that have RTL similar to
boundary-scan cells generated by the Tessent EBScan flow. For details, see the Custom
Boundary-Scan Cell Support Limitations and Solutions section.
1. Bring both your netlist and your .lvbscan file into the same work directory. For the
purpose of this example, assume that your embedded boundary-scan design is named
block, and your netlist is named block_vb.
2. Run these commands:
etassemble block \
-genTemplate on \
-icTechFile <file_path> \
-cadEnvFile <file_path> \
-etDefFile <file_path>
LV Flow Users Manual, v2014.2 334
Adding Embedded Boundary Scan Into Your Design
Performing Stand-Alone Verification of Custom Embedded BScan Cells
June 2014
This creates the following files:
Makefile
block.etassemble
simScript
3. Create your block.etEarlyVer file. (In the standard embedded boundary-scan flow,
bscang normally creates this file.) Create your own copy from the following template:
etv (block) {
JtagVerify (block) {
PatternName: tapbistv_block; //test bench root name
SimulationScript: block_sim.script; //generated script file
TCKPeriod: 100.0ns;
LVBScanFile: block.lvbscan; //your .lvbscan file
TestStep (Default) {
RunTest: BscanReg;
RunTest: Input; //if your ebscan has input/observe_only/
//bidir cells
RunTest: Sample; //if your ebscan has input/observe_only/
//bidir cells
RunTest: HighZ; //if your ebscan has a forceDisable control
RunTest: Output; //if your ebscan has output/bidir cells
}
}
}
4. Create your block.f_bscan (verilog -f file for simulation).
5. Run this command:
make testbench
6. Modify your Makefile target block_sim; delete the line:
-y outdir/*.v
7. Run this command:
make sim
8. Create your block.etcScanData file for ETChecker at one level higher. You can use this
example:
# Define your clockBscan pin.
lv.ClockDomainBase -pin block.ClockBscan -frequency 100
-label clockBscan -bscan
# Those pins might bear other names in your .lvbscan file.
# Here, their names are self-documenting.
lv.Assert -pin block.ForceDisable -value 1 -bscan
lv.Assert -pin block.SelectJtagInput -value 1 -bscan
lv.Assert -pin block.SelectJtagOutput -value 0 -bscan
lv.Assert -pin block.ShiftBscan2Edge -value 0 -bscan
lv.Assert -pin block.UpdateBscan -value 1 -bscan
Adding Embedded Boundary Scan Into Your Design
Performing Stand-Alone Verification of Custom Embedded BScan Cells
LV Flow Users Manual, v2014.2 335
June 2014
lv.NonScanTestablePin -name block.BScanSI block.BScanSO -bscan
# List all your pad functional input/output pins.
lv.PadCell -name block -padIO A Y -bscan
# Declare your internal bscan cells this way (here, all bscan cells
# are contained within the bgroup instance named DEF):
lv.InternalScanInstance -name block.DEF
9. You can ignore the following Make targets:
bscan
block_compile
concatenated_netlist
Custom Boundary-Scan Cell Support Limitations
and Solutions
Support of custom boundary-scan cells is limited to those that have RTL similar to boundary-
scan cells generated by the Tessent EBScan flow. Specifically, they must include a retiming
flip-flop in their serial path, and their shift enable signal must be shiftBscan2Edge, NOT
shiftBscan. Currently, synchronous cells using a free-running TCK (test clock) are not directly
supported.
Although ETVerify cannot create a block-level test bench that verifies a non-compliant
embedded custom boundary-scan cell, ETVerify still, however, can test such a cell as part of its
chip-level JtagVerify test, with these stipulations: The cell is correctly connected to the Mentor
Graphics top-level TAP, and the cells .lvbscan file accurately describes its internal boundary-
scan registers with BSDLInfo wrappers. At the parent-level, you can write a Tessent Shell
script to perform the following:
1. Connect the cell's required control signals to the TAP, which has a variety of output
control signals from which to choose such as captureBscan, enableUpdateBscan, and
enableClkBscan.
2. If needed, instantiate a retiming flop before or after the cell, depending on the polarity of
your boundary-scan clock.
3. Instantiate any required custom mode-decoding logic in the cell's parent module. The
TAP controller provides the following mode-related signals:
selectJtagInput Active high during scan test
selectJtagOutput Active high during EXTEST and HIGHZ modes
forceDisable Active high during HIGHZ mode
LV Flow Users Manual, v2014.2 336
Adding Embedded Boundary Scan Into Your Design
Performing Stand-Alone Verification of Custom Embedded BScan Cells
June 2014
bscanOnly Active high for any TAP instruction that accesses the boundary-scan
register
All boundary-scan control signals that are not documented in this appendix can be omitted from
the .lvbscan file and connected with the above Tessent Shell script. Please consult with your
Mentor Graphics representative before starting such an implementation.
LV Flow Users Manual, v2014.2 337
June 2014
Appendix H
Integrating Modules With Pre-Existing Scan
Chains
This appendix describes how to integrate the following types of objects with pre-existing non-
burst-ready scan chains into the LV Flow:
Legacy 4.x ELT core or block modules
Generic sub-block modules with third-party scan chains
Memories with internal scan logic such as scan chains
This appendix provides as well a detailed example on how to handle a memory with internal
scan logic.
This appendix covers the following topics:
Introduction of Hard Module Concept in ETChecker. . . . . . . . . . . . . . . . . . . . . . . . . . . 337
Legacy 4.x ELT Core or Block Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 339
Blocks With Third-Party Scan Chains . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 340
Memories With Internal Scan Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 341
Example Memory With Internal Scan Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 342
Example Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 343
Example Memory Library File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346
ruleAnalyze Preparation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 347
Example of a Memory Within Wrapper . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 348
Introduction of Hard Module Concept in
ETChecker
A module with pre-existing scan chains is fixed (hard) as far as the LV Flow is concerned and
cannot be modified. This concept has always been well understood by the ETScan step but was
completely ignored by Step 1: ETChecker up until LV2005 Version 5.0b. This missing
concept in ETChecker could result in violations being incorrectly assumed to be fixable when in
fact you would find out during Step 3: ETAssemble or Step 4: ETScan and Pre-Layout
ETSignOff that the action needed to correct the violation would have to be done inside the hard
module. Then this required you to go back to the ETChecker step and to specify properties
such as lv.InjectControl to deal with the violations.
LV Flow Users Manual, v2014.2 338
Integrating Modules With Pre-Existing Scan Chains
Introduction of Hard Module Concept in ETChecker
June 2014
An example of such situation, as illustrated in Figure H-1, is a flip-flop inside a hard module
which captures the output of a non-scan instance found outside the hard module. When
ETChecker sees this situation, it assumes that the flip-flop will be handled differently during the
ETScan step, and that it will be kept in shift mode even during the capture cycle in such a way
as not to be influenced by the unknown value coming from the non-scan instance. This
assumption is correct when the flip-flop is not within a hard module with pre-existing scan
chains. However, when the flip-flop is inside a hard module, ETScan is not able to modify the
scan circuitry and prevent the flip-flop from capturing the unknown.
Figure H-1. Illustration for Hard Module Concept
Starting with LV2005 Version 5.0b, ETChecker reads in an .etcScanData file for each hard
module with pre-existing scan chains. This .etcScanData file is generated by ruleAnalyze when
verifying the LogicTest compliance of the hard module. In the .etcScanData file, the hard
module is described as being hard to ETChecker which allows for proper handling. As can be
seen in the example .etcScanData file shown in Figure H-5, the syntax used to identify a
module as a hard module is the lv.HardModule property.
With the example situation described above, where a flip-flop inside a hard module captures the
output of a non-scan instance found outside the hard module, ETChecker now knows not to
assume the flip-flop will be isolated during ETScan. Instead, ETChecker will issue a
ScanRule_2 violation for the non-scan instance because it is observable by the flip-flop inside
the hard module, and there is no way to modify the scan chain to prevent it from capturing the
value from the non-scan flip-flop. Like with any other ScanRule_2 violations, you are provided
with an lv.InjectControl property inside the .etpInfoFixViolations File to auto-fix this violation
by inserting a control cell to control the net which is observed by the flip-flop inside the hard
module. It is exactly what you would have needed to do before Version 5.0b except that now the
violation is detected by ETChecker the first time, and you do not need to wait for the ETScan
step to find those issues.
Integrating Modules With Pre-Existing Scan Chains
Legacy 4.x ELT Core or Block Modules
LV Flow Users Manual, v2014.2 339
June 2014
There are three types of objects which contain pre-existing scan chains that are not BurstMode-
ready. Those are:
Legacy 4.x ELT Core or Block Modules
Blocks With Third-Party Scan Chains
Memories With Internal Scan Logic
The following sections describes how to generate an .etcScanData file for them and how to
easily handle them during the entire LV Flow.
Legacy 4.x ELT Core or Block Modules
A legacy ELT core or block is a module that has an embedded test inserted in it with
embeddedTest Version 4.x. Such ELT core and block modules can easily be reused in a LV2005
chip. You simply need to add an .etcScanData file to their existing LVDB directory and point
the LVDB directory with ETChecker using the -lvdbDir option.
The following steps are all that is needed to handle legacy ELT core or block modules:
1. Add an .etcScanData file to the LVDB Version 4.x using the following commands:
rulea <legacyLVDB>/core_collar.scan_shell\
-overrides <legacyLVDB>/core_collar.rulea_ext \
-y <myLibDir> -extension <mylibext> \
-burstReady Off
cp core__collar.etcScanData <legacyLVDB>/LV_WORKDIR
Note
Make sure that you do not use .rulea file in this step. Only .rulea_ext extension is used for
legacy ELTcore modules.
2. When you run ETChecker on the chip or block containing the legacy ELT core or block
module, point to the lvdb directory of the legacy ELT core or block module using
the -lvdbDir option. The .etcScanData file you placed inside the LVDB directory in Step
1 will automatically be read in by ETChecker, and it will know to treat it as a hard
module. For legacy ELT core modules, you do not need to provide its netlist to
ETChecker as it will automatically load the scan_shell found within the LVDB. You do
need to provide the complete netlist of legacy block modules.
3. Proceed with the rest of theLV Flow. The connection and test reuse of the legacy ELT
core or block will be transparent and fully automated.
LV Flow Users Manual, v2014.2 340
Integrating Modules With Pre-Existing Scan Chains
Blocks With Third-Party Scan Chains
June 2014
Blocks With Third-Party Scan Chains
When you have a block with pre-existing chains that you want to integrate into logic test, you
must first run ruleAnalyze on the stand-alone block. This is to verify that the block is
compatible with Mentor Graphics logic test. Follow these steps:
1. Create the .rulea file and ruleAnalyze run-script. Here is an example of the run script:
rulea ../netlist/block.v \
-r block \
-y ../logicvision/scan_models \
-y ../verilog \
-extension scan:v \
-burstReady Off \
-viewer On \
-debugWarnings On \
-log rulea_block.log
For the .rulea file, you will need to define your clocks, scan enables, TestMode pins and
Asserts. Here is an example of a .rulea file:
ClockDomainBase (SYSCLK) {
Label: SYSCLK;
Polarity: ACTIVEHIGH;
Override: Both;
}
Testmode {
SCAN_MODE: 1;
}
Assert {
CLOCK_SEL: 1;
}
ScanEnable (SCAN_ENABLE) {
Polarity: ActiveHigh;
}
ScanChain {
ScanIn: i_scan_in1[start];
ScanOut: o_scan_out1[end];
}
The TestMode ports will automatically be forwarded to ETChecker in the generated
.etcScanData file. The Assert ports will not get forwarded to ETChecker. Asserts should
be used on clock select signals, and you must then manually add an lv.ETClockEnable
when running ETChecker.
Although, this is not a recommended approach, the clock select signal and scan mode
signal are often the same port on these blocks. If the clock select signal is also used as a
scan mode signal, then you should specify it as a TestMode instead of Assert when
running ruleAnalyze. The TestMode will then get forwarded automatically to
ETChecker, and the port will also need to be specified with an lv.ETClockEnable. The
reason it needs to be specified as both a TestMode and ETClockEnable is that
ETChecker will only assert TestMode or ETClockEnable for certain rules and checks.
Integrating Modules With Pre-Existing Scan Chains
Memories With Internal Scan Logic
LV Flow Users Manual, v2014.2 341
June 2014
ETAssemble will only connect the port up to ETClockEnable since that will be asserted
in all cases.
Although not required, the ScanChain wrapper is very helpful for debugging when
ruleAnalyze traces the scan chains. The wrapper is repeatable for every start and end
point of a chain. With the ScanChain wrapper, ruleAnalyze reports errors if the chain
extraction fails. Without the ScanChain wrapper, ruleAnalyze tries to extract as many
scan chains as possible from pin to pin to infer what is scan and what is non-scan. Then
ruleAnalyze reports as non-scan any flip-flop that is not extracted as part of a scan chain.
Furthermore, ruleAnalyze may report errors or warnings that could be unclear to you.
For example, you may receive an error like this:
Error [ICRN17-03603582] : RULE 10 : The Non Scan flip-flop
'link_BL3.block1.Moon2.master_reg_0_' is not isolated from
testable logic.
Hint:
All Non Scan flip-flops must not be observable during test.
You must either manually isolate this flip-flop with testmode
or specify this flip-flop as a NonScanInstance with isolate=Yes
and rerun scanGenerate.
Or you may receive a warning like this:
Warning [GWRN00-00871326] : Inconclusive false path check
from 'link_BL3.block1.Moon2.master_reg_0_.SDFFRX2_inst_A1 (lv_ppi)
to ......
2. If the block passes the ruleAnalyze checks, an .etcScanData and .scandata file will get
generated. For ETChecker, you will need to add the runtime option -etcScanDataFile
and point to the .etcScanData files in your ETChecker runscript.
For running Tessent SoC, these files need to be put in a directory that will get read by
the tools. A good place to put them is your block netlist directory. You could also create
a directory called LV_files and put them there. You must then make sure to add the
LV_files directory as a ScanModelDir in your .LVICTech file for the LV Flow. The
.scandata file will be used by the tools that are run in the ETScan step. Make sure there
is a -y in the ETScan Makefile target for ruleAnalyze and scanGenerate that point to the
directory that contains the .scandata files.
The netlist for the block with pre-existing chains also needs to be included with a
SimModelDir, SimModelFile, or VerilogDashFFile in the .LVICTech file during Step 2:
ETPlanner so that the tools know where to pick up the netlist.
Memories With Internal Scan Logic
Some memories come equipped with internal scan logic which is reused during the logic test
modes. To have the scan logic properly understood and handled by the LV Flow, you perform
these steps:
LV Flow Users Manual, v2014.2 342
Integrating Modules With Pre-Existing Scan Chains
Example Memory With Internal Scan Logic
June 2014
1. Run ruleAnalyze on the memory module with internal scan logic as was described in
Step 1 of the previous section. Point to the generated .etcScanData file during
ETChecker run and make sure the .scandata file is in the Verilog search path of
scanGenerate during the ETScan step.
2. Typically, memory modules are tested by memory BIST so you need to describe the
presence of the internal scan logic to ETAssemble. You do that using the following
properties in the .lvmemlib file of the memory:
TransparentMode : None;
ObservationLogic : On | Off;
InternalScanLogic : On;
You specify ObservationLogic to Off when flip-flops inside your memory which
observe the address and control signals are part of the scan chain. If they are not, then
specifying ObservationLogic to On will instruct ETAssemble to put an observation flip-
flop inside the memory collar.
3. Run the LV Flow as usual. Note the following minor differences:
During Step 1: ETChecker, point to the .etcScanData file of the memory containing
internal scan logic and to the .lvmemlib file which has the InternalScanLogic property
set to On. This will have the following impact on the ETChecker step:
o Memory modules with internal scan logic will not be black-boxed. It will bind your
supplied scan model of the memory modules.
o The .etcScanData file will declare the memory module as a hard module and will
instruct ETChecker to deal with it as a hard module as describe is section
Introduction of Hard Module Concept in ETChecker.
During Step 3: ETAssemble, the following will happen:
o Scan models for the memories with internal scan logic will not be created by
ETAssemble so that your scan model describing the internal scan logic is found
during ETScan.
o Note that starting with LV2005 Version 5.0b, the OE ports on the memory are no
longer forced off inside the memory BIST collar during scan unless
TransparentMode is used with tri-state mux. This only happens when
TransparentMode is specified as SyncMux, and your OE pins on the memory are not
tied on in the chip.
Example Memory With Internal Scan Logic
This section provides an example of a memory with internal scan logic, showing how it is made,
described in the .lvmemlib file, and used in the LV Flow.
Integrating Modules With Pre-Existing Scan Chains
Example Memory With Internal Scan Logic
LV Flow Users Manual, v2014.2 343
June 2014
Example Memory
Figure H-2 provides the example of a memory with internal scan logic.
Figure H-2. Example Schematic View of Memory Model with Internal Scan Logic
There are flip-flops on the address, control and input data pins which are used during both the
functional operation mode of the memory and during scan. A bypass multiplexer is used to
bypass the memory core and drive the output data pins with the flip-flops used to sample the
input data pins. Note that the tri-state buffer is still after the bypass mux. It is your responsibility
to ensure the output enable is controllable during scan and fully decoded if multiple memories
are connected to the same bus. The majority of the time, the output enable signal is tied on in the
chip, and it will be left tied on during scan inside the collar starting with ETAssemble LV2005
Version 5.0b.
You need a scan model for your memory where the memory core logic is not visible to
ETChecker and ruleAnalyze. There are multiple advantages of sharing the same model for
simulation and the scan rule checking but the major one is that the parallel-load test benches
created from the information extracted by ruleAnalyze on the scan view can be used to simulate
with the real memory models including back-annotated timing.
There are several ways to achieve this objective:
The first one is illustrated with the example circuit shown in Figure H-2. Notice how the
memory core is inside a sub-module. If your Verilog model is separated in this way
where the logic that is also used in scan is in the top module, and the memory core is
LV Flow Users Manual, v2014.2 344
Integrating Modules With Pre-Existing Scan Chains
Example Memory With Internal Scan Logic
June 2014
inside a sub-module, you can use the Verilog model as the scan model too. You declare
the memory core as a black box module, and its contents with behavioral code is
ignored during the ruleAnalyze run.
A second method that can be easily implemented for any memory model with limited
structure changes to your model is as follows:
a. Surround all behavioral code not related to the internal scan logic inside a compiler
directive like this:
`ifdef KeepInternalScanLogicOnly
`else
<behavioral core here>
`endif
b. At the top of your model, add the following lines that will automatically define
KeepInternalScanLogicOnly when the model is loaded in ETChecker or
ruleAnalyze:
`ifdef LV_scanmodel
`define KeepInternalScanLogicOnly
`endif
`ifdef lv_rtl_primitives
`define KeepInternalScanLogicOnly
`endif
c. Finally, replace the RTL description of your flip-flops used to retime the input pins
with an instantiation of a flop module
Before:
always @(posedge clk) din_q = se ? {si, din_q[31:1]} : din;
After:
assign din_d = se ? {si, din_q[31:1]} : din;
<memModName>_FF din0 (.ck(clk), .d(din_d[0]),
.q(din_q[0]));
...
<memModName>_FF din31 (.ck(clk), .d(din_d[31]),
.q(din_q[31]));
and declare the <memModName>_FF definition at the bottom of the file below the
endmodule statement of the memory. Make sure to use the memory module name as
a prefix to the flop module name. That will ensure that the flop module name is
unique across all memory models.
module <memModName>_FF (ck, d, q);
input ck, d;
output q;
`ifdef LV_scanmodel
`ifdef gatedClock
lv_ppo gated_prim0 (d0);
lv_clockg_p gated_prim1 (ck);
LV_MUX gated_prim2 (.Y(d0), .A(q), .B(d), .S(ck) );
Integrating Modules With Pre-Existing Scan Chains
Example Memory With Internal Scan Logic
LV Flow Users Manual, v2014.2 345
June 2014
`else
lv_ppo A0 (d);
lv_clock_p A2 (ck);
`endif
lv_ppi A1 (q);
`else
reg q;
always @ (posedge ck) q = d;
`endif
endmodule
LV Flow Users Manual, v2014.2 346
Integrating Modules With Pre-Existing Scan Chains
Example Memory With Internal Scan Logic
June 2014
Example Memory Library File
Figure H-3 illustrates the memory library file for the example memory shown in Figure H-2. It
only shows a sub-set of the properties relevant to the internal logic. Notice the three properties
at the top which instruct the tools to insert neither transparent mode nor observation logic in the
collar, and that the memory has internal scan logic.
In the port description section, notice how the SI and SE ports are declared as LogicLow ports
and SO as an Open port. Finally, the port BYP is described as a ScanTest port.
Figure H-3. Example Memory Library File
MemoryTemplate (SYNC_1RW_16x8) {
TransparentMode: None;
ObservationLogic: Off;
InternalScanLogic: On;
Port (CLK){
Function: Clock;
}
Port (OE){
Function: OutputEnable;
}
Port (WE){
Function: WriteEnable;
}
Port (D[7:0]){
Function: Data;
Direction: Input;
}
Port (D[7:0]){
Function: Data;
Direction: Output;
}
Port (Q[7:0]){
Function: Data;
Direction: Input;
}
Port (A[3:0]){
Function: Address;
}
Port (BYP){
Function: ScanTest;
}
Port (SE){
Function: LogicLow;
}
Port (SI){
Function: LogicLow;
}
Port (SO){
Function: Open;
}
}
Integrating Modules With Pre-Existing Scan Chains
Example Memory With Internal Scan Logic
LV Flow Users Manual, v2014.2 347
June 2014
ruleAnalyze Preparation
Figure H-4 illustrates the .rulea file that needs to be prepared for running ruleAnalyze on the
memory module with the internal scan logic. Notice how the memory core sub-module is
declared as a black box.
Figure H-4. Example SYNC_1RW_16x8.rulea File
ScanEnable (SYE) {
}
TestMode {
BYP: 1;
}
Module (SYNC_1RW_16x8_CORE){
Function: OutputEnable;
}
A successful run of ruleAnalyze on the SYNC_1RW_16x8.v file confirms that your memory is
logic test compliant and generates these files:
./SYNC_1RW_16x8.scandata
./SYNC_1RW_16x8.etcScanData
Figure H-5 shows the generated .etcScanData file that you would obtain for the memory
example shown in Figure H-2.
Figure H-5. The .etcScanData File for the Example Memory
//--------------------------------------
// This file created by: ruleAnalyze
// release: 5.0b-Build20060401.03
// Created on: 04/05/06 01:02:03
//--------------------------------------
lv.HardModule -name SYNC_1RW_16x8
// ***************************************************
// TestModes
// ***************************************************
lv.TestMode -name SYNC_1RW_16x8.BYP -polarity 1
// ***************************************************
// Non Scan Instances
// ***************************************************
// ***************************************************
// Black Box Modules
// ***************************************************
lv.BlackBoxModule -name SYNC_1RW_16x8_CORE \
-isolation Verify
LV Flow Users Manual, v2014.2 348
Integrating Modules With Pre-Existing Scan Chains
Example Memory With Internal Scan Logic
June 2014
Example of a Memory Within Wrapper
Figure H-6 shows the same memory but wrapped inside a module with the output data pins
pipelined. The memory BIST testing is applied to the wrapper module in this example. The
memory wrapper is the module described in the .lvmemlib file with the InternalScanLogic
property set to On. You still use the .etcScanData file and the .scandata files you created for the
memory module itself. The memory wrapper is treated as a soft module by ETChecker, and that
it is still the memory module that is the hard module with the pre-existing scan chains to be
connected during ETScan.
Figure H-6. Example Verilog Model Within Wrapper
LV Flow Users Manual, v2014.2 349
June 2014
Appendix I
Providing Direct Scan Access for ATPG
Tools
This appendix describes how to add direct scan for use with ATPG tools.
Mentor Graphics currently supports ATPG access with the logic test Scan-Thru-TAP and multi-
chain configurations. However, these configurations are not compatible with ATPG tools using
the Direct Scan protocol, such as Synopsys TetraMax and Mentor Graphics Tessent FastScan.
This document describes how to add ATPG access that is compatible with the Direct Scan
protocol.
The following topics are covered in this appendix:
Adding Third-Party ATPG Access to Your Design . . . . . . . . . . . . . . . . . . . . . . . . . . 349
Enabling ATPG Access to Your Design. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 351
Mentor Graphics Technology and Flow Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352
Capture-By-Domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352
Rules Checking. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352
Adding Third-Party ATPG Access to Your
Design
The Direct Scan feature allows you to automatically create a direct access of the multi-chain
scan test of the top-level logicTest controller. With a single dedicated test pin, you can enable
this mode and gain control of the scan chains by passing the TAP controller.
Figure I-1 illustrates the tasks you need to perform during the LV Flow.
LV Flow Users Manual, v2014.2 350
Providing Direct Scan Access for ATPG Tools
Adding Third-Party ATPG Access to Your Design
June 2014
Figure I-1. Direct Scan Tasks within the LV Flow
To add scan ATPG support to your design, you need to manually specify the
DirectScanEnablePin property inside the LogicTest wrapper of the .etassemble file.
Note
The direct scan mode is applicable to the top level of the chip. As such internal logic of
ELT cores within the top level will not be tested. Note that the external isolation logic
will be part of the top-level logic and will be tested in this mode.
However, you can use the direct scan mode if there are ELT controllers in the design. The
direct scan mode feature excludes all internal logic of the ELT cores.
The pin is automatically added to the Assert wrapper in the .rulea overrides file and to the
Assert wrapper in the .designe override file.
Providing Direct Scan Access for ATPG Tools
Enabling ATPG Access to Your Design
LV Flow Users Manual, v2014.2 351
June 2014
Figure I-2 illustrates an example of the section of the .etassemble configuration file specific to
the direct scan-enable feature.
Figure I-2. Example .etassemble Configuration File
Configuration (MyChip) {
LogicTest {
DirectScanEnablePin (1): DIRECT_SE;
}
}
Enabling ATPG Access to Your Design
After you run the ETAssemble tool, an RTL module called
<designName>_LVISION_DIRECTSCAN_MUXES is created and is used to intercept all scan
control signals that need to be controlled for the Direct Scan mode to work. To use the direct
scan mode with your third-party scan tools, you assert the direct scan-enable pin to its active
value. The TAP TMS pin will control the scan enable of scan registers.
When the Direct Scan mode is activated, it configures the circuit in the following manner:
The TAP is automatically put in reset mode, and the tdi and tdo chain bypasses all the
TAP internal registers.
The TMS input pin becomes an active high scan-enable signal for all scan chains.
The TCK clock is injected as the clock for all scan chains.
The boundary-scan chain is forced into shift mode, even during the capture cycle.
selectJtagInput and selectJTagOutput are both left inactive such that all inputs and
outputs are part of the direct scan test.
LV Flow Users Manual, v2014.2 352
Providing Direct Scan Access for ATPG Tools
Mentor Graphics Technology and Flow Notes
June 2014
Mentor Graphics Technology and Flow Notes
This section describes the tasks you must perform to eliminate issues between Mentor Graphics
technology and flows. Specifically, you must ensure that the Capture-By-Domain feature and
rules checking tools operate correctly.
Capture-By-Domain
CaptureByDomain is still active and prevents any hold time problem between the clock
domains if you implemented the Capture-By-Domain feature for the normal Scan-Thru-TAP
Multi mode. The information about the Mentor Graphics proprietary Capture-By-Domain
solution will not be passed to your ATPG tool, so you need to explicitly instruct the tool to treat
multi-domain capture paths as correct-by-construction so that they are not masked.
Rules Checking
When your design contains the Direct Scan feature, the direct scan-enable pin must be asserted
to its inactive polarity in order for designExtract and ruleAnalyze to perform their rules
checking. ETAssemble automatically asserts the direct scan-enable pin to inactive polarity for
you.
LV Flow Users Manual, v2014.2 353
June 2014
Appendix J
Reusing Functional Shift Registers
This appendix describes how to re-use Functional Shift Registers in Tessent LogicBIST and
SoCScan. This feature allows you to implement intelligent handling of Functional Shift
Registers in the ETScan step of the LV Flow.
The following topics are covered in this appendix:
Concept . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353
Enabling Functional Shift Register Reuse. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354
Output Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354
scanGenerate .scang_scan Output File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354
ruleAnalyze .scandef Output File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355
Concept
In the ETScan step of the LV Flow, functional shift register paths must be identified and reused
whenever possible. Furthermore, logical module boundaries will not affect the functional shift
register reuse. That means that a functional shift register is not limited to the same module
boundary but can cross multiple module boundaries as shown in Figure J-1.
Figure J-1. Functional Shift Registers Location
LV Flow Users Manual, v2014.2 354
Reusing Functional Shift Registers
Enabling Functional Shift Register Reuse
June 2014
Enabling Functional Shift Register Reuse
To enable the Functional Shift Register Reuse feature, you can do one of the following:
In Step 2: ETPlanner of the LV Flow, you can set the ReuseFuncShiftRegisters
property ETPlanner input files to Yes for ELTCore, Block, or Top modules in your
design. You can as well set up the maximum segment size of reused shift registers using
the ETPlanner ReuseFuncShiftRegistersMaxSize property.
Later in the process, in Step 4: ETScan and Pre-Layout ETSignoff of the LV Flow, you
can specify the scanGenerate -reuseFuncShiftRegisters runtime option to Yes without
going back to ETPlanner. The value of this option will be set depending on the
ETPlanner ReuseFuncShiftRegisters property value for corresponding the ELTCore,
Block, or Top module. You can as well specify maximum segment size of reused shift
registers.
Output Results
The scanGenerate and ruleAnalyze tools identify and trace the Functional Shift Registers during
the ETScan step of the LV Flow. The following output files will provide the results of this
process:
scanGenerate .scang_scan Output File
ruleAnalyze .scandef Output File
scanGenerate .scang_scan Output File
The .scang_scan output file will include information when Functional Shift Registers are
reused. The ">>" characters will be added to the flops which are part of the reused Shift
Register. For example:
chain (chain_0) { // 55 elements
scanin : LV_SI0_int connected to
LVISION_LOGICTEST_INST.TO_ISCAN_SEG[1];
scanout : LV_SO0_int connected to
LVISION_LOGICTEST_INST.FROM_ISCAN_SEG[1];
CGC_FE_2.LV_bridge_0 [siGating+siRetiming+mux+pipeline] : clockA1
(LV_BURST_CLK_CTRL_I1.clkOut1)
CGC_FE_2.flop1: SD +> Q
MDs_1.flop2: SD +> Q // 4-element shift
MDs_2.flop2: >> SD +> Q
MDs_3.flop2: >> SD +> Q
MDs_4.flop2: >> SD +> Q
CGC_FE_52b.flop2: SD +> Q
Reusing Functional Shift Registers
Output Results
LV Flow Users Manual, v2014.2 355
June 2014
The above scan chain fragment indicates that there is a reused Shift Register from MDs_1 to
MDs_4. The ">>" characters augmented to the MDs_2, MDs_3, and MDs_4 flops indicate that
they are untouched by scanGenerate.
For complete information on this file, refer to .scang_scan File in the manual ETAnalysis Tools
Reference.
ruleAnalyze .scandef Output File
The .scandef file is created by ruleAnalyze on a post-scan inserted netlist and is used for scan
chain reordering. Information is provided in the .scandef file when a Functional Shift Register is
identified; it will be declared in a new + ORDERED section. This will ensure that the Shift
Register will be moved as a single entity during scan reordering. For example:
+ ORDERED
MDs_1.flop2 ( IN SD ) ( OUT Q )
MDs_2.flop2 ( IN SD ) ( OUT Q )
MDs_3.flop2 ( IN SD ) ( OUT Q )
MDs_4.flop2 ( IN SD ) ( OUT Q )
For complete information on this file, refer to .scandef File in the manual ETAnalysis Tools
Reference.
LV Flow Users Manual, v2014.2 356
Reusing Functional Shift Registers
Output Results
June 2014
LV Flow Users Manual, v2014.2 357
June 2014
Appendix K
Two-Pass Flow
This appendix describes how to delay the insertion of Logic Test in the LV Flow by running
ETAssemble twice.
This appendix covers the following topics:
Purpose of the LV Two-Pass Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357
Pass 1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357
Pass 2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 359
Purpose of the LV Two-Pass Flow
In the regular LV Flow, the TAP/WTAP, Memory BIST, and Logic Test IP are all inserted in a
single ETAssemble run. With the Two-Pass LV Flow, the single ETAssemble run is split up
into two runs so that the Logic Test IP can be inserted at a later time. The Two-Pass Flow can be
used to insert Memory BIST in the RTL netlist during Pass 1 and then have Logic Test inserted
in the Gate netlist during Pass 2.
Pass 1
In Pass 1 of the LV Flow, ETAssemble is just run to insert the non-Logic Test IP, such as
Memory BIST. Because the Logic Test will be inserted using the same TAP/WTAP controller
inserted in Pass 1, the LogicTest BistEnable and other control signals will be reserved for use in
Pass 2.
The following sections describe the tasks you have to implement during each pass of the Two-
Pass LV Flow.
Figure K-1 illustrates Pass 1 of the LV Flow.
LV Flow Users Manual, v2014.2 358
Two-Pass Flow
Pass 1
June 2014
Figure K-1. Pass 1 of the LV Flow
Perform the following tasks, as illustrated in Figure K-1, to complete.
1. Run ETChecker normally with lv.EmbeddedTest -logic Off.
Point to the childrens Pass1LVDB with the -lvdbDir option when using Bottom-Up
methodology.
2. In ETPlanner, specify these two properties in the .etplan file:
ModuleOptions (<moduleName>) {
PrepareForLogicTest: RTL | Gate | (No);
DedicatedMultiChainNumber: <int>;
}
Use PrepareForLogicTest RTL if you plan to run ETChecker/ETAssemble on the
RTL view in Pass 2, otherwise, use Gate.
If auxiliary Logic Test scan chains are to be inserted during Pass 2, then you must
specify the DedicatedMultiChainNumber property in Pass 1 so that the multi-chain
muxing logic can be created.
3. The rest of Pass 1 is unaffected:
The TAP/WTAP will reserve BistPort0 and several UserIRBits for future logicTest
controller.
Pre-layout sign-off can be taken all the way up.
ETChecker
ETPlanner
ETAssemble
Functional
Pass 1
Pre-Layout
LVDB
RTL
Functional
RTL w/ ET
Bottom-Up
Methodology
Pre-Layout
ETSignOff
Two-Pass Flow
Pass 2
LV Flow Users Manual, v2014.2 359
June 2014
Pass 2
In Pass 2 of the LV Flow, ETAssemble will reuse the TAP/WTAP inserted in Pass 1 when
inserting the Logic Test IP.
Figure K-2 illustrates Pass 2 of the LV Flow.
Figure K-2. Pass 2 of the LV Flow
Perform the following tasks, as illustrated in Figure K-2, to complete Pass 2:
1. Run ETChecker normally with lv.EmbeddedTest -logic On -memory Off:
Point to the Pass1 pre-layout LVDBs of the root module with the -lvdbDir runtime
option.
ETChecker
ETPlanner
ETAssemble
ETScan
Functional
Pass 1
Pre-Layout
LVDB
Pass 2
Pre-Layout
LVDB
RTL w/ ET
LVDB /
Test Patterns
Functional
RTL to
Netlist
RTL w/ all ET
GDSII Flow
w/ ET
Bottom-Up
Methodology
Pre-Layout
ETSignOff
Final
ETSignOff
LV Flow Users Manual, v2014.2 360
Two-Pass Flow
Pass 2
June 2014
Also point to the Pass2 pre-layout LVDBs of the child physical regions when using
Bottom-Up methodology.
If using Top-Down methodology, point to the Pass 1 pre-layout LVDBs of the child
physical regions with the -lvdbDir runtime option.
2. Run ETPlanner in GenPlan mode and point to Pass1 pre-layout LVDBs of the root
module with the -preLayoutLVDBDir runtime option:
Point to the Pass 2 pre-layout LVDBs of the child physical regions with the same
-preLayoutLVDBDir runtime option when using Bottom-Up methodology.
Point to Pass 1 pre-layout LVDB of the child physical regions with the same
-preLayoutLVDBDir option when using Top-Down methodology.
3. The rest of Pass 2 is unaffected.
The logicTest controller will connect to the signals, like the BistEnable, on the pre-
existing TAP/WTAP that were created during Pass 1. The resulting pre-layout LVDB
and final LVDB in Pass 2 will include both the Memory BIST inserted in Pass 1 and the
Logic Test data.
Note
Clock labels specified in ETChecker between Pass 1 and Pass 2 should be consistent to
avoid potential conflicts when ETAssemble is run in Pass 2.
LV Flow Users Manual, v2014.2 361
June 2014
Appendix L
Utilizing Flop Trays
This appendix describes utilize flop trays (multiple flip-flop cells) in their designs to save on
power requirements by sharing clock inverters within the multi-bit cell.
This appendix covers the following topics:
Flop Trays. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 361
Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362
Using Flop Trays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363
Flop Tray Limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364
Flop Trays
A flop tray cell contains multiple flip-flops which are connected into one existing scan chain as
illustrated in Figure L-1. Each flip-flop within the tray has its own dedicated data input and data
output pins on the cell. Flop trays are typically pre-constructed in a technology library in
various size increments such as 2, 4, 8, 16, and 32.
LV Flow Users Manual, v2014.2 362
Utilizing Flop Trays
Flop Trays
June 2014
Figure L-1. Example Flop Tray Cell with 3 Flip-Flops
In the previous versions, scan insertion in LV Flow did not allow the insertion of a multiple flip-
flop scan cell. The only exception was the 2-bit synchronizer cell which has a very specific use
and set of requirements. The purpose of the feature is to integrate support for flop tray cells into
the LV Flow when implementing Tessent LogicBIST.
Requirements
The following requirements must be met for the flop tray cells support:
There must be a single scan path between an input and an output pin of the flop tray cell
controlled by a single scanenable pin. All flip-flops within the flop tray must be on the
single scan path.
All flip-flops in the flop tray must be driven by a single clock pin on the cell and must be
active on the same edge.
Any retiming flip-flops or lock-up latches are not allowed within a flop tray cell.
Utilizing Flop Trays
Using Flop Trays
LV Flow Users Manual, v2014.2 363
June 2014
Using Flop Trays
It is assumed that you introduce flop tray cells in a post-synthesis optimization step, meaning
that the concept of flop trays does not exist within the RTL netlist. Your design is analyzed in
the post-synthesis step, and compatible registers are identified which can be grouped into multi-
bit flop tray cells.
After the multi-bit optimization step is executed, the modified gate-level netlist must be run by
ETChecker for re-analysis. In addition, the flop cell module definitions instantiated as the result
of the optimization must be declared with the ETChecker property:
lv.HardModule -name <flopTrayModName> -allowedOnPeripheryChain
The lv.HardModule specification is required in order for ETChecker to treat the flop tray cell as
a single unit for capture-by-domain and periphery analysis instead of individual flip-flops. The
-allowedOnPeripheryChain option is needed because by default lv.HardModule instances are
forced to reside within the internal test logic by inferring Dedicated Isolation (DI) on the pins
interfacing with lv.HardModule.
In the ETScan step of the LV Flow, you need to define your flop tray cells in the Scan Mapping
input file (scang.lib) used by scanGenerate. A new floptray mapping cell type is added
specifically for this purpose.
Figure L-2 shows the flop tray module syntax specification and the set of allowable pin
functions (highlighted in red).
Figure L-2. Flop Tray Module Specification Syntax in scang.lib
Library (<technologyName>) {
Module (<moduleName>) {
Type: floptray;
Pin (<pinName>){
Function: scanenable | scanenablenot | scanin | scanout |
scanoutnot | dataout | dataoutnot;
}
}
}
Note that there will only be support for a scanned version of a flop tray cell in the netlist,
meaning, there will be no support for flop tray cells of type nonscan and prioritydata. Flop tray
cells are expected to have a pre-scanned path, and no cell mapping is required. Scan hold for
flop tray cells will always be implemented using clock-gating.
Figure L-3 provides an example of a scang.lib module entry for the flop tray cell shown in
Figure L-1.
LV Flow Users Manual, v2014.2 364
Utilizing Flop Trays
Using Flop Trays
June 2014
Figure L-3. Example Flop Tray Module Specification
Library (myTechLib) {
Module (FlopTray3Cell) {
Type: floptray;
Pin (SE){
Function: scanenable;
}
Pin (SI){
Function: scanin;
}
Pin (SO){
Function: scanout;
}
Pin (Q2){
Function: dataout;
}
}
}
Note that the specified dataout pin of flop tray must correspond to the output of last flip-flop
within the flop tray chain (Q2 in the example cell). This is because the dataout pin is used as an
alternate pin to use in place of scanout. All other output pins controlled by the other flip-flops of
the flop tray, such as Q0 and Q1, must not be declared.
Flop Tray Limitations
These limitations are applicable to the Flop Tray support for this version:
Only flop tray cells with a single scanenable, scanin, scanout, clock pins are supported
Flop tray scan mapping entries must be manually added to the scan mapping file.
LV Flow Users Manual, v2014.2 365
June 2014
Appendix M
LV Flow Migration to Tessent Editing Engine
This appendix describes the LV Flow migration to the Tessent Editing Engine including usage
scenarios and existing limitations.
This appendix covers the following topics:
Overview of Migration to Tessent Editing Engine . . . . . . . . . . . . . . . . . . . . . . . . . . . 365
Syntax for Setting Up an Editing Engine. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366
Usage Scenarios . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366
Auto-Uniquification Required . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366
Design with System Verilog Not Supported by Legacy Editing Engine . . . . . . . . . . . 367
Design with VHDL Not Supported by Legacy Editing Engine . . . . . . . . . . . . . . . . . . 367
Design with System Verilog Keywords Supported by Legacy Editing Engine . . . . . . 368
Limitations on Language Support in the LV Flow. . . . . . . . . . . . . . . . . . . . . . . . . . . 368
Overview of Migration to Tessent Editing
Engine
In order to enable automatic uniquification of designs and to provide full language support
when inserting test logic, the ETAssemble step of Tessent Hierarchical Integrated Flow has
been ported to Tessent Editing Engine. Enhancements were made as well to the ETChecker and
ETPlanner steps of the flow. New options, properties, and runtime options that allow the
following actions are described in Syntax for Setting Up an Editing Engine section:
Enable auto uniquification
All restrictions on insertion of test logic into non-unique designs have been removed.
Enable SystemVerilog parsing
Inhibit execution of designExtract and have ETassemble generate the
TestConnectionMap file
This should normally be set for SystemVerilog parsing and, optionally, for VHDL.
Prepend a specified string to the name of each module edited by ETAssemble
Inhibit the insertion of assignment statements into edited files
Several usage scenarios are described in the Usage Scenarios section.
LV Flow Users Manual, v2014.2 366
LV Flow Migration to Tessent Editing Engine
Syntax for Setting Up an Editing Engine
June 2014
Syntax for Setting Up an Editing Engine
Table M-1 provides a summary of syntax used for setting up an editing engine while enabling
automatic uniquification of designs when logic is inserted during the LV Flow.
Usage Scenarios
Several usage scenarios and the required actions are described in the following sections:
Auto-Uniquification Required
Design with System Verilog Not Supported by Legacy Editing Engine
Design with VHDL Not Supported by Legacy Editing Engine
Design with System Verilog Keywords Supported by Legacy Editing Engine
Auto-Uniquification Required
Specify the -RTLEditingEngine option in ETChecker property lv.EmbeddedTest as HDLE.
The -autoUniquify option defaults to asNeeded. ETChecker detects which modules need to be
uniquified prior to editing. Refer to the ETChecker User's and Reference Manual for the details
of this algorithm. In addition, you can use the lv.UniquifyInstance property to tell ETChecker
about additional modules that need to be edited beyond those that can be detected by
Table M-1. Syntax for Setting Up an Editing Engine
Property Description
lv.EmbeddedTest
-RTLEditingEngine (HDLE) | Incore
-autoUniquify Off | asNeeded | allEditedModules
The options for the ETChecker
lv.EmbeddedTest property
lv.UniquifyInstance The ETChecker property
-f_sv The ETChecker runtime option
-VHDLVersion The ETChecker runtime option
AllowVCSVerilogExceptions The ETPlanner property in the .etplan file
BypassDesignExtract The ETPlanner property in the .etplan file
ETAssembleEditedModulePrefix The ETPlanner property in the .etplan and
.ETDefaults files
InhibitAssigns The ETPlanner property in the .etplan file
SystemVerilogDashFFile The ETPlanner property in the .etplan file
-hdleInfo On | (Off) The ETAssemble runtime option
LV Flow Migration to Tessent Editing Engine
Usage Scenarios
LV Flow Users Manual, v2014.2 367
June 2014
ETChecker. These options are forwarded to ETPlanner and ETAssemble. The Tessent Editing
Engine is used in ETAssemble.
Design with System Verilog Not Supported by
Legacy Editing Engine
Your design contains System Verilog constructs not supported by the legacy editing engine.
This scenario requires the following actions:
Specify the -RTLEditingEngine option in ETChecker as HDLE.
Create a command file for ETChecker containing the paths of your System Verilog
design files. Include in this file any standard Verilog options (for example, -y, -v) needed
to compile those files. Specify the -f_sv runtime option to ETChecker with this
command file as the option value.
Create a command file for ETPlanner similar to the one for ETChecker. Specify the
SystemVerilogDashFFile property in the .etplan file with this command file as the
property value.
Note
In many cases ETPlanner and ETChecker will be run from different directories, and the
file paths in the command file will be relative to the current working directory. Normally
in such cases, a single command file cannot be used because the relative paths from the
ETPlanner and ETChecker directories to the design files probably will not match. If the
file paths are absolute or if ETPlanner and ETChecker are run from directories where the
relative paths to the design files match, then the same file can be used for both steps.
Specify the BypassDesignExtract property in the .etplan file to Yes to inhibit execution
of designExtract. The .tcm file will be generated by the ETAssemble tool.
The Tessent Editing Engine will be used in ETAssemble.
When inserting Tessent MemoryBIST during the top-down insertion and after signing-off one
or more child blocks, you need to change the directory to the ETAssemble directory of the
parent workspace and execute the following:
make tcmgen
Design with VHDL Not Supported by Legacy
Editing Engine
Your design contains VHDL constructs not supported by the legacy editing engine. The
following actions are required:
LV Flow Users Manual, v2014.2 368
LV Flow Migration to Tessent Editing Engine
Limitations on Language Support in the LV Flow
June 2014
Specify the -RTLEditingEngine option in ETChecker as HDLE. The Tessent Editing
Engine will be used as the editing engine in ETAssemble.
Specify the BypassDesignExtract property in the .etplan file to Yes to inhibit execution
of designExtract. The .tcm file will be generated by the ETAssemble tool.
When inserting Tessent MemoryBIST during the top-down insertion and after signing-off one
or more child blocks, you need to change the directory to the parent workspace's ETAssemble
directory and execute the following:
make tcmgen
Design with System Verilog Keywords Supported
by Legacy Editing Engine
Your design contains System Verilog keywords; however, those keywords are supported by the
legacy editing engine. The designExtract tool does not need to be bypassed in such scenario.
If auto uniquification is required, then specify the -RTLEditingEngine option in
ETChecker as HDLE; otherwise, this option does not need to be specified.
Create a command file for ETChecker containing the paths of your System Verilog
design files. Include in this file any standard Verilog options (for example, -y, -v) needed
to compile those files. Specify the -f_sv runtime option to ETChecker with this
command file as the option value.
This step is needed only if the -RTLEditingEngine option was specified as HDLE in
ETChecker. In that case, create a command file for ETPlanner similar to the one for
ETChecker. Specify the SystemVerilogDashFFile property in the .etplan file with this
command file as the property value.
Note
In many cases ETPlanner and ETChecker will be run from different directories, and the
file paths in the command file will be relative to the current working directory. Normally
in such cases, a single command file cannot be used because the relative paths from the
ETPlanner and ETChecker directories to the design files probably will not match. If the
file paths are absolute or if ETPlanner and ETChecker are run from directories where the
relative paths to the design files match, then the same file can be used for both steps.
Limitations on Language Support in the LV
Flow
The following sections describe the limitations to language support in the LV Flow.
LV Flow Migration to Tessent Editing Engine
Limitations on Language Support in the LV Flow
LV Flow Users Manual, v2014.2 369
June 2014
Limitations Applicable to the ETChecker Tool
The following limitations are applicable to ETChecker.
The use of parameter overrides in the instantiation of parameterized SystemVerilog
interfaces are not supported.
Nested SystemVerilog interfaces are not supported. The following example illustrates a
nested interface:
interface intA;
intB intB_inst();
endinterface
interface intB;
endinterface
Logic inside a SystemVerilog interface (for example, continuous assignments) cannot
be traced. If signals that appear in that logic are connected to memory ports, rule check
failures are likely to occur.
Limitations Applicable to the Tessent Editing
Engine
Some limitations are applicable to the Tessent Editing Engine. In the following bulleted items,
the word ignored implies that the construct is read and can be written when needed; however,
tracing and modification of code that depends upon that construct are not supported.
Language constructs that are not covered by the RTL-synthesizeable subset are ignored.
One of the implications of this is that cross-scope references are ignored, and you may
need to manually edit these references after ETAssemble completes. The following are
two types of cross-scope references that are ignored:
o Hierarchical references that cross module boundaries are ignored. If a net in such a
reference needs to be renamed, a manual update will be required. The following
example illustrates such a hierarchical reference:
module top ( input in1, output o1 );
DUT INST1 ( in1, out1 );
endmodule
module DUT ( input in1, output out1 );
wire w1, w2;
assign w1 = top.INST1.w2; // This is an absolute hierarchical
// reference that crosses a module boundary.
endmodule
o Variables declared inside packages or $unit compilation scope are ignored. If such a
variable needs to be renamed, a manual update will be required.
LV Flow Users Manual, v2014.2 370
LV Flow Migration to Tessent Editing Engine
Limitations on Language Support in the LV Flow
June 2014
Enum methods such as next, prev, first, and last are not interpreted during
tracing/modification. This limitation could affect tracing (for example, if the return
value of the method is used as an alternative in a case generate statement). The
following example illustrates this limitation:
module joe ( input in1, output out1 );
typedef enum {S[2]} states_t;
parameter states_t p1 = S1;
case(p1)
p1.first : begin
assign out1 = p1.first;
end
p1.last : begin
assign out1 = p1.last;
end
endcase
endmodule
The signal out1 cannot be traced.
Ports of an enum type cannot be intercepted. This limitation is a constraint on the
construction of custom objects.
Net and port objects declared to be one of the following types can only be accessed for
editing purposes as a complete net / port:
o User-defined types
o Struct types (packed and unpacked)
o Unions
o Packed arrays of more than one dimension
o Unpacked arrays of one or more dimensions
The above limitation constrains the types of connections that can be made with custom
objects. You cannot construct a custom object that accesses a field within a user-defined
type, a struct, or a union. Likewise, you cannot construct a custom object that accesses
an element within an unpacked array or within a packed array of more than one
dimension.
Tracing through procedural blocks, procedural statements, functions, and tasks is not
supported.
Extern modules are ignored. This limitation will be an issue if the module port list needs
to be modified.
In a mixed design (VHDL and Verilog or SystemVerilog), only one version of a design
unit can be compiled into a given logical library. In other words, no support currently
exists for overriding a previously compiled version with a new one.
A given VHDL file cannot be compiled into multiple logical libraries.
LV Flow Migration to Tessent Editing Engine
Limitations on Language Support in the LV Flow
LV Flow Users Manual, v2014.2 371
June 2014
SystemVerilog interfaces are supported in the Tessent Editing Engine with the following
limitations:
o Multi-dimensional instantiation of SystemVerilog interfaces is ignored. This
limitation affects tracing and modification of any logic that is dependent upon those
interfaces. The following example illustrates multi-dimensional instantiation of
interfaces:
interface intfA;
endinterface
module top ( input in1, output o1 );
endmodule
o Edits to the design by the ETAssemble tool that require modification of
SystemVerilog interfaces are not supported.
o Modification of the outside connection on a port whose type is a SystemVerilog
interface is not supported. This limitation affects tools such as Tessent
BoundaryScan, which need to intercept outside connections on pad cell keyports.
This limitation is also a constraint on the construction of custom objects.
o Inserting an instance of a module containing a port whose type is a SystemVerilog
interface is not supported.
Other Flow Limitations
The following additional flow limitations exist.
In a bottom-up flow, unpacked dimensions cannot be used in the port definitions of the
root modules of a child block. An example of such a construct is the following:
input cb_flow_type [18:0];
When the BypassDesignExtract property is set to Yes, the following additional
limitations apply:
o The Non-Programmable memory BIST controller that uses a memory collar is not
supported. A warning will be issued for this case.
o The two-pass flow is not supported.
o Most clock schemes are supported including the clock from a child block feeding the
parent and a child blocks clock feeding another child block. However, in the event
that an unsupported clock scheme is encountered, a clock override mechanism is
provided. You must specify a TCMGenClockSource wrapper in the .etassemble file.
The syntax is as follows:
TCMGenClockSource(subModuleInstancepathName.clockPortName){
LV Flow Users Manual, v2014.2 372
LV Flow Migration to Tessent Editing Engine
Limitations on Language Support in the LV Flow
June 2014
ReferencePin: currentModuleClockPortName;
ReferencePinInv: currentModuleClockPortNameInv;
FreqRatio: ##;
}
where FreqRatio is the frequency ratio between currentModuleClockPortName and
subModuleInstancepathName.clockPortName.
The following is an example:
// In car.etassemble file
Configuration (car) {
BoundaryScan {
Overrides {
}
}
TAP {
InjectTCKOnClockSources: All;
Connections {
...
}
}
TCMGenClockSource (dashboard_INST.CK33MHz){
ReferencePin: CLKTEST;
FreqRatio: 2;
}
}
o You cannot bypass designExtract for a child block and then use designExtract in the
parent block. The reverse is not true; meaning, you can run designExtract on a child
block and then bypass designExtract in the parent. The latter is required for legacy
LVDB support.
o The ULTRA controller is supported with two limitations. First, in order to retarget
the ULTRA controller to the parent block, when the pads for the ETSerdes ports are
located in the parent block (as opposed to the child block which instantiates the
ULTRA controller), the path from the pad to the ETSerdes port on the child block
must be a direct connect; meaning, there can be no intervening logic other than
simple assigns. Second, two and only two levels of workspace hierarchy are
supported, with the ultra controller instantiated in the child block.
o Asserts that you manually add in the .designe file are not written to the .tcm file.
These need to be added manually to the .tcm file itself or to the appropriate
PinSettings wrappers in the ETVerify configuration file.
o Custom objects used in designAssemble are not reflected in the .tcm file. For
example, if the following CustomObject were used to intercept a clock multiplexer
select and gate in some new logic, a memory BIST controllers expected clock
source and/or frequency ratio could change, and the ETVerify tool would not see
that reflected in the .tcm file.
CustomObject(Gate2InterceptDestination) {
LV Flow Migration to Tessent Editing Engine
Limitations on Language Support in the LV Flow
LV Flow Users Manual, v2014.2 373
June 2014
Var(ModuleName): AND2;
Var(InstanceName): clock_control_i/clock_mux_select_and_i;
Var(OutputPin): Y;
Var(Input0Pin): A;
Var(Input1Pin): B;
Var(InterceptPort): clock_control_i/clock_bypass_mux/S;
Var(Input1Connection): clock_control_i/clock_bypass_en;
}
To ensure that the .tcm file is generated correctly, specify a TCMGenClockSource
wrapper in the .etassemble file to reflect the change in the clock source.
Ports that are declared in the top module of a child block or ELTCore cannot be declared
using a SystemVerilog generic interface type. The following example illustrates a
generic interface type:
module mem1 (interface pins, input clk);
endmodule
Ports that are declared in the top module of a child block or ELTCore can be declared
using a SystemVerilog interface type with modports; however, the modport to be used in
instantiations of the module must be specified in the module declaration. The following
example illustrates a module declaration with the modport specified in the module
declaration (which is always allowed):
interface my_bus;
wire [0:127] data;
wire [31:0] address;
modport my_view (input address, inout data);
endinterface
module joe (my_bus.my_view bus1);
endmodule
The following example, using the same interface my_bus, illustrates a module
declaration with the modport specified in an instantiation of the module (which is not
allowed in the top module of a child block or ELTCore):
module top (input in1, output out1);
my_bus bus1;
joe i1 (bus1.my_view);
endmodule
module joe (my_bus bus1);
endmodule
Parameter overrides cannot be used in the instantiation of a child block or ELTCore.
Implicit port syntax (in other words, .*) cannot be used in the instantiation of a child
block or ELTCore. The following example illustrates the use of implicit port syntax:
LV Flow Users Manual, v2014.2 374
LV Flow Migration to Tessent Editing Engine
Limitations on Language Support in the LV Flow
June 2014
module top( input in1, output out1 );
joe i1( .* );
endmodule
module joe( input in1, output out1 );
endmodule
There are certain conditions under which a VHDL simulation model cannot be used for
a memory. If that memory is instantiated from Verilog and a memory scan model was
generated by ETAssemble or was provided by the user, the VHDL simulation model
cannot be used.
Top-level port declarations are limited to one packed dimension and zero unpacked
dimensions (SystemVerilog) and simple vectors (VHDL) when boundary scan needs to
be inserted into the design.
If a custom object is used to make connections to a net or port inside an unrolled
generate loop (unrolled due to a previous run of ETAssemble on the current design), the
escaped names used for the unrolled loop labels in the edited design file must be used in
the custom object. For example, if the following path were used before the design was
first edited:
A/B[0]/P1
then after loop unrolling, the required syntax is as follows:
A/\B[0] /P1
Note the \ character and the space character after the ] character.
The Verilog construct array of instances is not supported for instances that need to be
edited.
LV Flow Users Manual, v2014.2 375
June 2014
Appendix N
Power-Aware Scan Insertion
In the LV flow, the scan insertion step ETScan does not take any power information into
consideration when inserting testpoints and scan chains. As a result, if two flip-flops are on the
same scan chain but belong to different power domains, you must reorder the flip-flops. This
appendix describes the method for making the testpoint and scan chain insertion power-aware
by reading a Common Power Format (CPF) or Universal Power Format (UPF) file into Tessent
Shell. Additionally, this method enables you to create scan partitions, or scan clusters, so that
scan chains can be restricted to specific instances. As part of power-aware scan insertion,
Tessent Shell is used to automatically clone Scan Enable Controllers (SEC)s so that a unique
SEC is used for the power domain and scan cluster.
The following topics are covered in this appendix:
Usage Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 375
Scan Chain Concatenation with Multiple Power Domains. . . . . . . . . . . . . . . . . . . . . . . . . 379
Usage Model
The ETPlanner properties used to perform power-aware scan insertion in the LV Flow are as
follows:
In the .ETDefaults file:
EmbeddedTestDefaults {
LogicTest {
AllowMultiPowerDomainChains: Yes | (No);
ScanPartitioning: Yes | (No);
}
ATPGRulesOnlyLogicTest {
AllowMultiPowerDomainChains: Yes | (No);
}
}
In the .etplan file:
ETPlan (xxx) {
DesignSpecification {
ModulesGate (xxx) {
PowerFormatFile (UPF|CPF): <file>;
}
}
EmbeddedTest {
GlobalOptions {
ScanPartitioning: Yes | (No);
LV Flow Users Manual, v2014.2 376
Power-Aware Scan Insertion
Usage Model
June 2014
}
ModuleOptions(.*) {
AllowMultiPowerDomainChains: Yes | (No);
}
}
}
Use the ScanPartitioning property to enable creating scan partitions using a power format file
and/or specifying scan clusters in the design by means of an include dofile. Enabling this
property adds a new Makefile target to the ETScan step of the LV Flow between the targets
make testpointa and make scang make scan_partition. This target invokes Tessent Shell
using the new dofile <designName>_scan_partition.tcl.
Use the PowerFormatFile property to identify where the UPF/CPF file is located. The specified
file is then read into the Tessent Shell dofile, <designName>_scan_partition.tcl, using the
read_upf or read_cpf command. If you do not specify the PowerFormatFile property, the scan
partitioning dofile tries to find a UPF/CPF file called <designName>.(upf|cpf) in the same
directory where the root design file is located.
Use the AllowMultiPowerDomainChains property to control how you want scan chains to be
constructed with respect to power domains. A value of No indicates that each scan chain
generated cannot span more than one power domain.
Figure N-1 illustrates where the new Makefile target (marked in Red) fits into the ETScan step
of the LV Flow.
Power-Aware Scan Insertion
Usage Model
LV Flow Users Manual, v2014.2 377
June 2014
Figure N-1. The make scan_partition Target in the ETScan Step
The Tessent Shell dofile used by the make scan_partition target performs the following:
1. Reads the pre-scan Verilog netlist.
2. Loads the .tcl_rulea_prescan file that was created by make rulea_prescan. This file
contains Tcl lists of all clock domains, their scan-testable flip-flops, and the SECs.
3. Adds a rulea_clock_domain attribute to each scan-testable flip-flop.
4. Adds a scan_cluster attribute for objects of type instance and then sets the
-applies_to_child_instances option to On. You can create an include dofile that can be
used to set the scan_cluster attribute, thereby providing a method to manually partition a
design for scan.
5. If the ETPlanner PowerFormatFile property was specified, loads the UPF/CPF file to
get the power domain information. Tessent Shell then populates the
power_domain_name attributes for the design objects.
6. Partitions the design based on the presence of the scan_cluster attribute.
make rulea_prescan
make testpoina
make scang
make scan_partition
.tcl_rulea_prescan
.siga_prescan
User
Input
CPF/UPF .tpinfo
ATPG
Netlist
.scan_partition
_info
Netlist
library
.tpinfo
ruleAnalyze
Tessent Shell
testpointAnalyze
scanGenerate
LV Flow Users Manual, v2014.2 378
Power-Aware Scan Insertion
Usage Model
June 2014
7. If a clock domain has more than one power domain partition or scan cluster, clones the
clock domains SEC for each power domain partition and for each user-defined
scan_cluster.
8. Writes out the new Verilog netlist, <designName>.<gateExtension>_prescan.
9. Reads the testpoint information file (.tpinfo) created by testpointAnalyze.
10. If a testpoint has been marked to reuse a functional flip-flop, compares the power
domain of the functional flip-flop and the testpoint gate. If the power domains differ,
replaces the functional flip-flop in the .tpinfofile with a - and uses a dedicated testpoint
flip-flop. For testpoints that use a dedicated flip-flop, adds a new column entry,
scan_partition, that lists all of the scan partitions that the testpoint gate belongs to. One
of these identified scan partitions is used by scanGenerate when the testpoint is inserted.
The modified .tpinfo file is then written out so that it can be read in by scanGenerate.
11. Writes out a scan partition information file. This file describes to scanGenerate which
scan partition each scan-testable flip-flop and SEC belong to.
The output files from the make scan_partition target (Verilog netlist, .tpinfo, and
scan_partition_info) are then read in by make scang so that scanGenerate can insert the
testpoints and scan chains in their respective scan partition. The .scan_partition_info file is
specified to scanGenerate using the new -scanPartitionInfoFile runtime option.
The .scang_scan file generated by scanGenerate provides detailed information about the
modifications made to the design. This file contains additional information showing which scan
partition each chain belongs to.
Figure N-2 shows an example of a chain segment where the name of the power domain the scan
segment belongs to is listed with the starting bridge cell of the segment.
Figure N-2. Chain Segment Example
chain (chain_0) {
scanin: MGsg_SI0_int connected to
LVISION_LOGICTEST_INST.TO_ISCAN_SEG[85];
scanout: MGsg_SO0_int connected to
LVISION_LOGICTEST_INST.FROM_ISCAN_SEG[85];
LV_bridge_0 [siGating+siRetiming+mux+pipeline]:
clock_domain_core_clk_power_domain_PDcore
(LV_BURST_CLK_CTRL_I1.LV_CLK_GATING1.LV_CLOCK_CELL_MUX.Y)
pc_inst.pc_reg_31_: SD +> Q {LTRX}
...
The ruleAnalyze tool supports scan chains partitioned by power domains during generation.
Prior to v2013.3, the PARTITION labels created within the file used the chains clock domain
base to control reordering. This restricted scan flops from different clock domains from scan re-
ordering with each other but did not control re-ordering between power domains.
Power-Aware Scan Insertion
Usage Model
LV Flow Users Manual, v2014.2 379
June 2014
The ruleAnalyze tool now creates PARTITION labels using the instance name of the Scan
Enable (SE) controller sourcing the chain segment. Because SE controllers are cloned for each
power domain, restricting re-ordering based on SE controllers ensures that a scan flip-flop is not
scan-reordered with another flip-flop from a different power domain.
You can use the following ruleAnalyze runtime option to control the file partition:
-scanDefPartitionBy ClockDomain | (SEController) | SyncGroup
Scan Chain Concatenation with Multiple Power
Domains
Although partitioning allows for control of rotating scan segment creation to avoid mixing
power domains, partitioning does not restrict the concatenation of two rotation segments into
the same scan chain if the segments have different power domain attributes. This section details
the additional functionality added in v2013.3 to allow the creation of scan chains to be restricted
to a single power domain.
scanGenerate
The following scanGenerate command-line option enables or disables the power domain based
scan chain stitching:
-allowMultiPowerDomainChains On | (Off)
The -allowMultiPowerDomainChains option defaults to Off. With an Off value, scanGenerate
only creates scan chains using segments with the same PowerDomain attribute. If the existing
-allowMultiDomainChains option is also set to Off, then all scan chains will be a single clock
domain and single power domain.
ETPlanner
The AllowMultiPowerDomainChains property is in the ModuleOptions wrapper of the .etplan
file as well as to the LogicTest and ATPGRulesOnlyLogicTest wrappers of the .ETDefaults file.
For example:
ModuleOptions {
AllowMultiPowerDomainChains: Yes | (No);
The AllowMultiPowerDomainChains property value is passed on to the scanGenerate makefile
target as the -allowMultiPowerDomainChains command-line option.
LV Flow Users Manual, v2014.2 380
Power-Aware Scan Insertion
Usage Model
June 2014
Scan Partition Power Domain Attributes
Prior to v2013.3, pre-existing scan chains were not attributed to a specific scan partition. Pre-
existing scan chain segments now are stitched as individual rotating segments and are free to be
concatenated with other rotating segments. To support power domain based scan chains, pre-
existing scan chain segments now have scan partition attributes so that they can be accounted
for during stitching.
To add the attributes, modifications were made to the rulea prescan mode and the scan
partitioning Tessent Shell script. ScanGenerate now processes the ScanOutPorts wrapper of the
.scan_partition_info file. ScanGenerate uses the properties of this wrapper to associate scan
partition and power domain attributes to the pre-existing chain segments.
Rulea PreScan
The rulea prescan mode generates a .tcl_rulea_prescan file that contains association between
flip-flops and clock domains as well as SE controllers and clock domains. For pre-existing
memory scan chains, rulea now reports the scan output port of the existing scan chain segments
instead of the memory scan flops. The following example shows where the scanout so1 port of
the memory mem_inst is reported:
array set clock_domains { \
clk1 { \
{moda_inst/data_reg[0]} \
{mem_inst/so1} \
Scan Partition Tessent Shell Script
The scan partitioning Tessent Shell script invoked via the make scan_partition target now
handles the reporting of memory scan output ports. If memory chain segments are partitioned
into scan clusters, the corresponding scan output port is reported in the ScanOutPorts wrapper
within the .scan_partition_info output file. For example:
ScanPartitionInfo {
ScanPartition(clock_domain_clk1_power_domain_default_flops_cluster) {
ScanEnableController: LV_SE_CTRL_BL5_P_INT_I1_1;
ExternalScanEnableController: LV_SE_CTRL_BL5_P_EXT_I1_1;
PowerDomain: default_flops_cluster;
ScanOutPorts {
"mem_inst/so1";
}
Flops {
"\test_reg[0] ";
Limitations
When the LogicTest controller hardware is constructed during the ETAssemble step, it has no
knowledge of the scan partition and power domain constraints. As a result, the number of
internal and external scan ports created could result in scan chain balancing issues during the
ETScan step.
Power-Aware Scan Insertion
Usage Model
LV Flow Users Manual, v2014.2 381
June 2014
Furthermore, the scan ports (internal/external) created by ETAssemble may be insufficient to
accommodate the allowMultiDomainChains and allowMultiPowerDomainChains option
settings; more constraint groups may exist than there are available scan chain ports to which you
can connect. When this occurs, scanGenerate flags an error and instructs you to rerun
ETAssemble with increased scan chain numbers:
LogicTest {
NumberOfInternalScanChains: 33;
NumberOfPeripheryScanChains: 47;
}
LV Flow Users Manual, v2014.2 382
Power-Aware Scan Insertion
Usage Model
June 2014
LV Flow Users Manual, v2014.2 383
June 2014
Appendix O
Getting Help
There are several ways to get help when setting up and using Tessent software tools. Depending
on your need, help is available from documentation, online command help, and Mentor
Graphics Support.
Documentation
The Tessent software tree includes a complete set of documentation and help files in PDF
format. Although you can view this documentation with any PDF reader, if you are viewing
documentation on a Linux file server, you must use only Adobe
Reader
versions 8 or 9, and
you must set one of these versions as the default using the MGC_PDF_READER variable in
your mgc_doc_options.ini file.
For more information, refer to Specifying Documentation System Defaults in the Managing
Mentor Graphics Tessent Software manual.
You can download a free copy of the latest Adobe Reader from this location:
http://get.adobe.com/reader
You can access the documentation in the following ways:
Shell Command On Linux platforms, enter mgcdocs at the shell prompt or invoke a
Tessent tool with the -Manual invocation switch. This option is available only with
Tessent Shell and the following classic point tools: Tessent FastScan, Tessent
TestKompress, Tessent Diagnosis, and DFTAdvisor.
File System Access the Tessent bookcase directly from your file system, without
invoking a Tessent tool. From your product installation, invoke Adobe Reader on the
following file:
$MGC_DFT/doc/pdfdocs/_bk_tessent.pdf
Application Online Help You can get contextual online help within most Tessent
tools by using the help -manual tool command:
> help dofile -manual
This command opens the appropriate reference manual at the dofile command
description.
LV Flow Users Manual, v2014.2 384
Getting Help
Mentor Graphics Support
June 2014
Mentor Graphics Support
Mentor Graphics software support includes software enhancements, access to comprehensive
online services with SupportNet, and the optional On-Site Mentoring service.
For details, refer to this page:
http://supportnet.mentor.com/about
If you have questions about a software release, you can log in to SupportNet and search
thousands of technical solutions, view documentation, or open a Service Request online:
http://supportnet.mentor.com
If your site is under current support and you do not have a SupportNet login, you can register for
SupportNet by filling out a short form here:
http://supportnet.mentor.com/user/register.cfm
All customer support contact information is available here:
http://supportnet.mentor.com/contacts/supportcenters/index.cfm
Third-Party Information
For information about third-party software included with this release of Tessent products, refer to the Third-Party Software for
Tessent Products.
End-User License Agreement
The latest version of the End-User License Agreement is available on-line at:
www.mentor.com/eula
END-USER LICENSE AGREEMENT (Agreement)
This is a legal agreement concerning the use of Software (as defined in Section 2) and hardware (collectively Products)
between the company acquiring the Products (Customer), and the Mentor Graphics entity that issued the corresponding
quotation or, if no quotation was issued, the applicable local Mentor Graphics entity (Mentor Graphics). Except for license
agreements related to the subject matter of this license agreement which are physically signed by Customer and an authorized
representative of Mentor Graphics, this Agreement and the applicable quotation contain the parties entire understanding
relating to the subject matter and supersede all prior or contemporaneous agreements. If Customer does not agree to these
terms and conditions, promptly return or, in the case of Software received electronically, certify destruction of Software and all
accompanying items within five days after receipt of Software and receive a full refund of any license fee paid.
1. ORDERS, FEES AND PAYMENT.
1.1. To the extent Customer (or if agreed by Mentor Graphics, Customers appointed third party buying agent) places and Mentor
Graphics accepts purchase orders pursuant to this Agreement (each an Order), each Order will constitute a contract between
Customer and Mentor Graphics, which shall be governed solely and exclusively by the terms and conditions of this Agreement,
any applicable addenda and the applicable quotation, whether or not those documents are referenced on the Order. Any additional
or conflicting terms and conditions appearing on an Order or presented in any electronic portal or automated order management
system, whether or not required to be electronically accepted, will not be effective unless agreed in writing and physically signed
by an authorized representative of Customer and Mentor Graphics.
1.2. Amounts invoiced will be paid, in the currency specified on the applicable invoice, within 30 days from the date of such invoice.
Any past due invoices will be subject to the imposition of interest charges in the amount of one and one-half percent per month or
the applicable legal rate currently in effect, whichever is lower. Prices do not include freight, insurance, customs duties, taxes or
other similar charges, which Mentor Graphics will state separately in the applicable invoice. Unless timely provided with a valid
certificate of exemption or other evidence that items are not taxable, Mentor Graphics will invoice Customer for all applicable
taxes including, but not limited to, VAT, GST, sales tax, consumption tax and service tax. Customer will make all payments free
and clear of, and without reduction for, any withholding or other taxes; any such taxes imposed on payments by Customer
hereunder will be Customers sole responsibility. If Customer appoints a third party to place purchase orders and/or make
payments on Customers behalf, Customer shall be liable for payment under Orders placed by such third party in the event of
default.
1.3. All Products are delivered FCA factory (Incoterms 2010), freight prepaid and invoiced to Customer, except Software delivered
electronically, which shall be deemed delivered when made available to Customer for download. Mentor Graphics retains a
security interest in all Products delivered under this Agreement, to secure payment of the purchase price of such Products, and
Customer agrees to sign any documents that Mentor Graphics determines to be necessary or convenient for use in filing or
perfecting such security interest. Mentor Graphics delivery of Software by electronic means is subject to Customers provision of
both a primary and an alternate e-mail address.
2. GRANT OF LICENSE. The software installed, downloaded, or otherwise acquired by Customer under this Agreement, including any
updates, modifications, revisions, copies, documentation and design data (Software) are copyrighted, trade secret and confidential
information of Mentor Graphics or its licensors, who maintain exclusive title to all Software and retain all rights not expressly granted
by this Agreement. Mentor Graphics grants to Customer, subject to payment of applicable license fees, a nontransferable, nonexclusive
license to use Software solely: (a) in machine-readable, object-code form (except as provided in Subsection 5.2); (b) for Customers
internal business purposes; (c) for the term of the license; and (d) on the computer hardware and at the site authorized by Mentor
Graphics. A site is restricted to a one-half mile (800 meter) radius. Customer may have Software temporarily used by an employee for
telecommuting purposes from locations other than a Customer office, such as the employees residence, an airport or hotel, provided
that such employees primary place of employment is the site where the Software is authorized for use. Mentor Graphics standard
policies and programs, which vary depending on Software, license fees paid or services purchased, apply to the following: (a)
relocation of Software; (b) use of Software, which may be limited, for example, to execution of a single session by a single user on the
authorized hardware or for a restricted period of time (such limitations may be technically implemented through the use of
authorization codes or similar devices); and (c) support services provided, including eligibility to receive telephone support, updates,
modifications, and revisions. For the avoidance of doubt, if Customer provides any feedback or requests any change or enhancement to
Products, whether in the course of receiving support or consulting services, evaluating Products, performing beta testing or otherwise,
any inventions, product improvements, modifications or developments made by Mentor Graphics (at Mentor Graphics sole discretion)
will be the exclusive property of Mentor Graphics.
3. ESC SOFTWARE. If Customer purchases a license to use development or prototyping tools of Mentor Graphics Embedded Software
Channel (ESC), Mentor Graphics grants to Customer a nontransferable, nonexclusive license to reproduce and distribute executable
files created using ESC compilers, including the ESC run-time libraries distributed with ESC C and C++ compiler Software that are
IMPORTANT INFORMATION
USE OF ALL SOFTWARE IS SUBJECT TO LICENSE RESTRICTIONS. CAREFULLY READ THIS LICENSE
AGREEMENT BEFORE USING THE PRODUCTS. USE OF SOFTWARE INDICATES CUSTOMERS COMPLETE
AND UNCONDITIONAL ACCEPTANCE OF THE TERMS AND CONDITIONS SET FORTH IN THIS AGREEMENT.
ANY ADDITIONAL OR DIFFERENT PURCHASE ORDER TERMS AND CONDITIONS SHALL NOT APPLY.
linked into a composite program as an integral part of Customers compiled computer program, provided that Customer distributes
these files only in conjunction with Customers compiled computer program. Mentor Graphics does NOT grant Customer any right to
duplicate, incorporate or embed copies of Mentor Graphics real-time operating systems or other embedded software products into
Customers products or applications without first signing or otherwise agreeing to a separate agreement with Mentor Graphics for such
purpose.
4. BETA CODE.
4.1. Portions or all of certain Software may contain code for experimental testing and evaluation (which may be either alpha or beta,
collectively Beta Code), which may not be used without Mentor Graphics explicit authorization. Upon Mentor Graphics
authorization, Mentor Graphics grants to Customer a temporary, nontransferable, nonexclusive license for experimental use to test
and evaluate the Beta Code without charge for a limited period of time specified by Mentor Graphics. Mentor Graphics may
choose, at its sole discretion, not to release Beta Code commercially in any form.
4.2. If Mentor Graphics authorizes Customer to use the Beta Code, Customer agrees to evaluate and test the Beta Code under normal
conditions as directed by Mentor Graphics. Customer will contact Mentor Graphics periodically during Customers use of the
Beta Code to discuss any malfunctions or suggested improvements. Upon completion of Customers evaluation and testing,
Customer will send to Mentor Graphics a written evaluation of the Beta Code, including its strengths, weaknesses and
recommended improvements.
4.3. Customer agrees to maintain Beta Code in confidence and shall restrict access to the Beta Code, including the methods and
concepts utilized therein, solely to those employees and Customer location(s) authorized by Mentor Graphics to perform beta
testing. Customer agrees that any written evaluations and all inventions, product improvements, modifications or developments
that Mentor Graphics conceived or made during or subsequent to this Agreement, including those based partly or wholly on
Customers feedback, will be the exclusive property of Mentor Graphics. Mentor Graphics will have exclusive rights, title and
interest in all such property. The provisions of this Subsection 4.3 shall survive termination of this Agreement.
5. RESTRICTIONS ON USE.
5.1. Customer may copy Software only as reasonably necessary to support the authorized use. Each copy must include all notices and
legends embedded in Software and affixed to its medium and container as received from Mentor Graphics. All copies shall remain
the property of Mentor Graphics or its licensors. Customer shall maintain a record of the number and primary location of all
copies of Software, including copies merged with other software, and shall make those records available to Mentor Graphics upon
request. Customer shall not make Products available in any form to any person other than Customers employees and on-site
contractors, excluding Mentor Graphics competitors, whose job performance requires access and who are under obligations of
confidentiality. Customer shall take appropriate action to protect the confidentiality of Products and ensure that any person
permitted access does not disclose or use Products except as permitted by this Agreement. Customer shall give Mentor Graphics
written notice of any unauthorized disclosure or use of the Products as soon as Customer becomes aware of such unauthorized
disclosure or use. Except as otherwise permitted for purposes of interoperability as specified by applicable and mandatory local
law, Customer shall not reverse-assemble, reverse-compile, reverse-engineer or in any way derive any source code from Software.
Log files, data files, rule files and script files generated by or for the Software (collectively Files), including without limitation
files containing Standard Verification Rule Format (SVRF) and Tcl Verification Format (TVF) which are Mentor Graphics
trade secret and proprietary syntaxes for expressing process rules, constitute or include confidential information of Mentor
Graphics. Customer may share Files with third parties, excluding Mentor Graphics competitors, provided that the confidentiality
of such Files is protected by written agreement at least as well as Customer protects other information of a similar nature or
importance, but in any case with at least reasonable care. Customer may use Files containing SVRF or TVF only with Mentor
Graphics products. Under no circumstances shall Customer use Products or Files or allow their use for the purpose of developing,
enhancing or marketing any product that is in any way competitive with Products, or disclose to any third party the results of, or
information pertaining to, any benchmark.
5.2. If any Software or portions thereof are provided in source code form, Customer will use the source code only to correct software
errors and enhance or modify the Software for the authorized use. Customer shall not disclose or permit disclosure of source code,
in whole or in part, including any of its methods or concepts, to anyone except Customers employees or on-site contractors,
excluding Mentor Graphics competitors, with a need to know. Customer shall not copy or compile source code in any manner
except to support this authorized use.
5.3. Customer may not assign this Agreement or the rights and duties under it, or relocate, sublicense, or otherwise transfer the
Products, whether by operation of law or otherwise (Attempted Transfer), without Mentor Graphics prior written consent and
payment of Mentor Graphics then-current applicable relocation and/or transfer fees. Any Attempted Transfer without Mentor
Graphics prior written consent shall be a material breach of this Agreement and may, at Mentor Graphics option, result in the
immediate termination of the Agreement and/or the licenses granted under this Agreement. The terms of this Agreement,
including without limitation the licensing and assignment provisions, shall be binding upon Customers permitted successors in
interest and assigns.
5.4. The provisions of this Section 5 shall survive the termination of this Agreement.
6. SUPPORT SERVICES. To the extent Customer purchases support services, Mentor Graphics will provide Customer with updates and
technical support for the Products, at the Customer site(s) for which support is purchased, in accordance with Mentor Graphics then
current End-User Support Terms located at http://supportnet.mentor.com/supportterms.
7. LIMITED WARRANTY.
7.1. Mentor Graphics warrants that during the warranty period its standard, generally supported Products, when properly installed, will
substantially conform to the functional specifications set forth in the applicable user manual. Mentor Graphics does not warrant
that Products will meet Customers requirements or that operation of Products will be uninterrupted or error free. The warranty
period is 90 days starting on the 15th day after delivery or upon installation, whichever first occurs. Customer must notify Mentor
Graphics in writing of any nonconformity within the warranty period. For the avoidance of doubt, this warranty applies only to the
initial shipment of Software under an Order and does not renew or reset, for example, with the delivery of (a) Software updates or
(b) authorization codes or alternate Software under a transaction involving Software re-mix. This warranty shall not be valid if
Products have been subject to misuse, unauthorized modification, improper installation or Customer is not in compliance with this
Agreement. MENTOR GRAPHICS ENTIRE LIABILITY AND CUSTOMERS EXCLUSIVE REMEDY SHALL BE, AT
MENTOR GRAPHICS OPTION, EITHER (A) REFUND OF THE PRICE PAID UPON RETURN OF THE PRODUCTS TO
MENTOR GRAPHICS OR (B) MODIFICATION OR REPLACEMENT OF THE PRODUCTS THAT DO NOT MEET THIS
LIMITED WARRANTY. MENTOR GRAPHICS MAKES NO WARRANTIES WITH RESPECT TO: (A) SERVICES; (B)
PRODUCTS PROVIDED AT NO CHARGE; OR (C) BETA CODE; ALL OF WHICH ARE PROVIDED AS IS.
7.2. THE WARRANTIES SET FORTH IN THIS SECTION 7 ARE EXCLUSIVE. NEITHER MENTOR GRAPHICS NOR ITS
LICENSORS MAKE ANY OTHER WARRANTIES EXPRESS, IMPLIED OR STATUTORY, WITH RESPECT TO
PRODUCTS PROVIDED UNDER THIS AGREEMENT. MENTOR GRAPHICS AND ITS LICENSORS SPECIFICALLY
DISCLAIM ALL IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
NON-INFRINGEMENT OF INTELLECTUAL PROPERTY.
8. LIMITATION OF LIABILITY. EXCEPT WHERE THIS EXCLUSION OR RESTRICTION OF LIABILITY WOULD BE VOID
OR INEFFECTIVE UNDER APPLICABLE LAW, IN NO EVENT SHALL MENTOR GRAPHICS OR ITS LICENSORS BE
LIABLE FOR INDIRECT, SPECIAL, INCIDENTAL, OR CONSEQUENTIAL DAMAGES (INCLUDING LOST PROFITS OR
SAVINGS) WHETHER BASED ON CONTRACT, TORT OR ANY OTHER LEGAL THEORY, EVEN IF MENTOR GRAPHICS
OR ITS LICENSORS HAVE BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES. IN NO EVENT SHALL MENTOR
GRAPHICS OR ITS LICENSORS LIABILITY UNDER THIS AGREEMENT EXCEED THE AMOUNT RECEIVED FROM
CUSTOMER FOR THE HARDWARE, SOFTWARE LICENSE OR SERVICE GIVING RISE TO THE CLAIM. IN THE CASE
WHERE NO AMOUNT WAS PAID, MENTOR GRAPHICS AND ITS LICENSORS SHALL HAVE NO LIABILITY FOR ANY
DAMAGES WHATSOEVER. THE PROVISIONS OF THIS SECTION 8 SHALL SURVIVE THE TERMINATION OF THIS
AGREEMENT.
9. HAZARDOUS APPLICATIONS. CUSTOMER ACKNOWLEDGES IT IS SOLELY RESPONSIBLE FOR TESTING ITS
PRODUCTS USED IN APPLICATIONS WHERE THE FAILURE OR INACCURACY OF ITS PRODUCTS MIGHT RESULT IN
DEATH OR PERSONAL INJURY (HAZARDOUS APPLICATIONS). EXCEPT TO THE EXTENT THIS EXCLUSION OR
RESTRICTION OF LIABILITY WOULD BE VOID OR INEFFECTIVE UNDER APPLICABLE LAW, IN NO EVENT SHALL
MENTOR GRAPHICS OR ITS LICENSORS BE LIABLE FOR ANY DAMAGES RESULTING FROM OR IN CONNECTION
WITH THE USE OF MENTOR GRAPHICS PRODUCTS IN OR FOR HAZARDOUS APPLICATIONS. THE PROVISIONS OF
THIS SECTION 9 SHALL SURVIVE THE TERMINATION OF THIS AGREEMENT.
10. INDEMNIFICATION. CUSTOMER AGREES TO INDEMNIFY AND HOLD HARMLESS MENTOR GRAPHICS AND ITS
LICENSORS FROM ANY CLAIMS, LOSS, COST, DAMAGE, EXPENSE OR LIABILITY, INCLUDING ATTORNEYS FEES,
ARISING OUT OF OR IN CONNECTION WITH THE USE OF MENTOR GRAPHICS PRODUCTS IN OR FOR HAZARDOUS
APPLICATIONS. THE PROVISIONS OF THIS SECTION 10 SHALL SURVIVE THE TERMINATION OF THIS AGREEMENT.
11. INFRINGEMENT.
11.1. Mentor Graphics will defend or settle, at its option and expense, any action brought against Customer in the United States,
Canada, Japan, or member state of the European Union which alleges that any standard, generally supported Product acquired by
Customer hereunder infringes a patent or copyright or misappropriates a trade secret in such jurisdiction. Mentor Graphics will
pay costs and damages finally awarded against Customer that are attributable to such action. Customer understands and agrees
that as conditions to Mentor Graphics obligations under this section Customer must: (a) notify Mentor Graphics promptly in
writing of the action; (b) provide Mentor Graphics all reasonable information and assistance to settle or defend the action; and (c)
grant Mentor Graphics sole authority and control of the defense or settlement of the action.
11.2. If a claim is made under Subsection 11.1 Mentor Graphics may, at its option and expense: (a) replace or modify the Product so
that it becomes noninfringing; (b) procure for Customer the right to continue using the Product; or (c) require the return of the
Product and refund to Customer any purchase price or license fee paid, less a reasonable allowance for use.
11.3. Mentor Graphics has no liability to Customer if the action is based upon: (a) the combination of Software or hardware with any
product not furnished by Mentor Graphics; (b) the modification of the Product other than by Mentor Graphics; (c) the use of other
than a current unaltered release of Software; (d) the use of the Product as part of an infringing process; (e) a product that Customer
makes, uses, or sells; (f) any Beta Code or Product provided at no charge; (g) any software provided by Mentor Graphics
licensors who do not provide such indemnification to Mentor Graphics customers; or (h) infringement by Customer that is
deemed willful. In the case of (h), Customer shall reimburse Mentor Graphics for its reasonable attorney fees and other costs
related to the action.
11.4. THIS SECTION 11 IS SUBJECT TO SECTION 8 ABOVE AND STATES THE ENTIRE LIABILITY OF MENTOR
GRAPHICS AND ITS LICENSORS, AND CUSTOMERS SOLE AND EXCLUSIVE REMEDY, FOR DEFENSE,
SETTLEMENT AND DAMAGES, WITH RESPECT TO ANY ALLEGED PATENT OR COPYRIGHT INFRINGEMENT OR
TRADE SECRET MISAPPROPRIATION BY ANY PRODUCT PROVIDED UNDER THIS AGREEMENT.
12. TERMINATION AND EFFECT OF TERMINATION.
12.1. If a Software license was provided for limited term use, such license will automatically terminate at the end of the authorized
term. Mentor Graphics may terminate this Agreement and/or any license granted under this Agreement immediately upon written
notice if Customer: (a) exceeds the scope of the license or otherwise fails to comply with the licensing or confidentiality
provisions of this Agreement, or (b) becomes insolvent, files a bankruptcy petition, institutes proceedings for liquidation or
winding up or enters into an agreement to assign its assets for the benefit of creditors. For any other material breach of any
provision of this Agreement, Mentor Graphics may terminate this Agreement and/or any license granted under this Agreement
upon 30 days written notice if Customer fails to cure the breach within the 30 day notice period. Termination of this Agreement or
any license granted hereunder will not affect Customers obligation to pay for Products shipped or licenses granted prior to the
termination, which amounts shall be payable immediately upon the date of termination.
12.2. Upon termination of this Agreement, the rights and obligations of the parties shall cease except as expressly set forth in this
Agreement. Upon termination, Customer shall ensure that all use of the affected Products ceases, and shall return hardware and
either return to Mentor Graphics or destroy Software in Customers possession, including all copies and documentation, and
certify in writing to Mentor Graphics within ten business days of the termination date that Customer no longer possesses any of
the affected Products or copies of Software in any form.
13. EXPORT. The Products provided hereunder are subject to regulation by local laws and United States (U.S.) government agencies,
which prohibit export, re-export or diversion of certain products, information about the products, and direct or indirect products thereof,
to certain countries and certain persons. Customer agrees that it will not export or re-export Products in any manner without first
obtaining all necessary approval from appropriate local and U.S. government agencies. If Customer wishes to disclose any information
to Mentor Graphics that is subject to any U.S. or other applicable export restrictions, including without limitation the U.S. International
Traffic in Arms Regulations (ITAR) or special controls under the Export Administration Regulations (EAR), Customer will notify
Mentor Graphics personnel, in advance of each instance of disclosure, that such information is subject to such export restrictions.
14. U.S. GOVERNMENT LICENSE RIGHTS. Software was developed entirely at private expense. The parties agree that all Software is
commercial computer software within the meaning of the applicable acquisition regulations. Accordingly, pursuant to U.S. FAR 48
CFR 12.212 and DFAR 48 CFR 227.7202, use, duplication and disclosure of the Software by or for the U.S. government or a U.S.
government subcontractor is subject solely to the terms and conditions set forth in this Agreement, which shall supersede any
conflicting terms or conditions in any government order document, except for provisions which are contrary to applicable mandatory
federal laws.
15. THIRD PARTY BENEFICIARY. Mentor Graphics Corporation, Mentor Graphics (Ireland) Limited, Microsoft Corporation and
other licensors may be third party beneficiaries of this Agreement with the right to enforce the obligations set forth herein.
16. REVIEW OF LICENSE USAGE. Customer will monitor the access to and use of Software. With prior written notice and during
Customers normal business hours, Mentor Graphics may engage an internationally recognized accounting firm to review Customers
software monitoring system and records deemed relevant by the internationally recognized accounting firm to confirm Customers
compliance with the terms of this Agreement or U.S. or other local export laws. Such review may include FlexNet (or successor
product) report log files that Customer shall capture and provide at Mentor Graphics request. Customer shall make records available in
electronic format and shall fully cooperate with data gathering to support the license review. Mentor Graphics shall bear the expense of
any such review unless a material non-compliance is revealed. Mentor Graphics shall treat as confidential information all information
gained as a result of any request or review and shall only use or disclose such information as required by law or to enforce its rights
under this Agreement. The provisions of this Section 16 shall survive the termination of this Agreement.
17. CONTROLLING LAW, JURISDICTION AND DISPUTE RESOLUTION. The owners of certain Mentor Graphics intellectual
property licensed under this Agreement are located in Ireland and the U.S. To promote consistency around the world, disputes shall be
resolved as follows: excluding conflict of laws rules, this Agreement shall be governed by and construed under the laws of the State of
Oregon, U.S., if Customer is located in North or South America, and the laws of Ireland if Customer is located outside of North or
South America. All disputes arising out of or in relation to this Agreement shall be submitted to the exclusive jurisdiction of the courts
of Portland, Oregon when the laws of Oregon apply, or Dublin, Ireland when the laws of Ireland apply. Notwithstanding the foregoing,
all disputes in Asia arising out of or in relation to this Agreement shall be resolved by arbitration in Singapore before a single arbitrator
to be appointed by the chairman of the Singapore International Arbitration Centre (SIAC) to be conducted in the English language, in
accordance with the Arbitration Rules of the SIAC in effect at the time of the dispute, which rules are deemed to be incorporated by
reference in this section. Nothing in this section shall restrict Mentor Graphics right to bring an action (including for example a motion
for injunctive relief) against Customer in the jurisdiction where Customers place of business is located. The United Nations
Convention on Contracts for the International Sale of Goods does not apply to this Agreement.
18. SEVERABILITY. If any provision of this Agreement is held by a court of competent jurisdiction to be void, invalid, unenforceable or
illegal, such provision shall be severed from this Agreement and the remaining provisions will remain in full force and effect.
19. MISCELLANEOUS. This Agreement contains the parties entire understanding relating to its subject matter and supersedes all prior
or contemporaneous agreements. Some Software may contain code distributed under a third party license agreement that may provide
additional rights to Customer. Please see the applicable Software documentation for details. This Agreement may only be modified in
writing, signed by an authorized representative of each party. Waiver of terms or excuse of breach must be in writing and shall not
constitute subsequent consent, waiver or excuse.
Rev. 140201, Part No. 258976